哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器
哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器

数电大作业 1

计数器

一、实验目的

1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编

程和仿真;

2.掌握数字电路的设计方法,熟悉设计过程及其步骤;

3.培养学生的动手能力,能学以致用,为今后从事电子线路设计

打下良好基础;

4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理

来分析实验现象;

二、实验内容

1.设计内容及要求

1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器;

2)编写源程序;

3)给出仿真电路图和仿真波形图;

2.需求分析:

由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码:

module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin

辻(!reset)out=9, hOOO;

else if (load)out=data; else if (out>=212)out=9,

hOOO; else out=out+1; end endmodule

程序说明:

该计数器为一个9位计数器,计数范围0~212,具有同步同

步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。

4. 画出仿真电路图:

图1为同步置数、同步清零加法计数器的仿真电路图

//elk 上升沿触发

〃同步清零,低电平有效 //同步预置

〃计数最大值为212,超过清零 〃计数

讯卿1

c

c^

3. 画出仿真波形

先对逻辑波形进行初始化设置,将清零信号‘ restest '置1, 置数信号‘ load '置0,得到的仿真波形图2所示,波形终止处 如图3所示,图4为计数到212后自动清零。

当reset=0,计数器清零;当reset=1 , load=0时,计数器 计数;当reset=1、load=1时,

计数器计数。

out[8..0]

自动生成的电路

由于延时较长,上升沿触发显示不是很明显

图2 开始计数的状态波形

世g ins

1

| _i J ll 1 fl hit[0][01

tftl ltd L

HI11

u*

13

S nt aiirai o】】m)曲血L '}|:joao :'OOOOO:JpOOtK

图3 逻辑波形---波形终止处

图4 计数到211然后清零

三、实验结果

由仿真波形可以看出,计数器从0 0000 0000计到0 1101 0100 后便清零。而0 1101 0100B=212D,所以该计数器即为一个模为

213的计数器,符合实验要求。

四、实验总结

1.计数器功能表

2.应熟知计数器的使用方法,并能设计出任意进制的计数

器,在设计时才能得心应手。计数进制的改变,包括清零法(同步清零和异步清零)和置数法。假定计数器的计数进制为N,要将其改为M进制的计数器,M< N。当计数器从全零状态开始计数,若采用同步清零法,设计时应经过 M-1个状态后清零;若采用异步清零法,设计时应经过 M个状态后清零。这样就跳过了 M个状态,实现了 M进制计数器。因为采用异步清零法会产生清零不可

靠的问题,本实验采用的为同步清零法。

3.本实验采用的是数据流描述方式来描述电路,通过 assign

连续赋值实现组合逻辑功能,使用简单,语句易于读懂。

4.调试过程中,应沉着冷静,出现错误时,应该根据数电

知识原理来分析,找出错误原因,对症下药;也可上网搜查该错误的含义,可能是软件的使用方法不对。

哈工大测试技术大作业(锯齿波)

Harbin Institute of Technology 课程大作业说明书 课程名称:机械工程测试技术基础 设计题目:信号的分析与系统特性 院系: 班级: 设计者: 学号: 指导教师: 设计时间:2013/07/05 哈尔滨工业大学

目录

1 题目: 写出下列信号中的一种信号的数学表达通式,求取其信号的幅频谱图(单边谱和双边谱)和相频谱图,若将此信号输入给特性为传递函数为 )(s H 的系统,试讨论信号参数的取值,使得输出信号的失真小。 (选其中一个信号) 1-1信号参数 2 幅频谱和相频谱 将其分解为三角函数表示形式的傅里叶级数, 式中00 2= =2w T π π 。 所以0001111 (t)=(sin(w t)+sin(2w t)+sin(3w t)+223 w π-…)

转换为复指数展傅里叶级数: 当n=0时,01 = =22 A c ,0=0? ; =1,2,3,n ±±±当… 时, 111 222n n c A n π=== , 3 频率成分分布 由信号的傅里叶级数形式及可以看出,锯齿波是由一系列正弦波叠加而成,正弦波的频率由0w 到20w ,30w ……,其幅值由A π 到2A π,3A π,……依次减小,各频率成分的相位都为0。 3.1 H(s)伯德图 3.1.1 一阶系统1 ()1 H s s τ= +伯德图 ` M a g n i t u d e (d B ) 10 10 10 10 10 10 P h a s e (d e g ) Bode Diagram Frequency (rad/s) 10 1010101010 P h a s e (d e g ) Bode Diagram Frequency (rad/s)

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

哈工大数字电子技术基础习题册答案7和10(修改)

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1 n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

运筹学大作业 哈工大

课程名称:对偶单纯形法 一、教学目标 在对偶单纯形法的学习过程中,理解和掌握对偶问题;综合运用线性规划和对偶原理知识对对偶单纯形法与单纯形法进行对比分析,了解单纯形法和对偶单纯形法的相同点和不同点,总结出各自的适用范围;掌握对偶单纯形法的求解过程;并能运用对偶单纯形法独立解决一些运筹学问题。 二、教学内容 1) 对偶单纯形法的思想来源(5min) 2) 对偶单纯形法原理(5min) 3) 总结对偶单纯形法的优点及适用情况(5min) 4) 对偶单纯形法的求解过程(10min) 5) 对偶单纯形法例题(15min) 6) 对比分析单纯形法和对偶单纯形法(10min) 三、教学进程: 1)讲述对偶单纯形法思想的来源: 1954年美国数学家C.莱姆基提出对偶单纯形法(Dual Simplex Method )。单纯形法是从原始问题的一个可行解通过迭代转到另一个可行解,直到检验数满足最优性条件为止。对偶单纯形法则是从满足对偶可行性条件出发通过迭代逐步搜索原始问题的最优解。在迭代过程中始终保持基解的对偶可行性,而使不可行性逐步消失。因此在保持对偶可行性的前提下,一当基解成为可行解时,便也就是最优解。 2)讲述对偶单纯形法的原理 A.对偶问题的基本性质 依照书第58页,我们先介绍一下对偶问题的六个基本性质: 性质一:弱对偶性 性质二:最优性。如果 x j (j=1...n)原问题的可行解,y j 是其对偶问题可 行解,且有 ∑=n j j j x c 1 =∑=m i i i y b 1 ,则x j 是原问题的最优解,y j 是其对偶问题的最

优解。 性质三:无界性。如果原问题(对偶问题)具有无界解,则其对偶问题(原问题)无可行解。 性质四:强对偶性。如果原问题有最优解,则其对偶问题也一定有最优解。 性质五:互补松弛型。在线性规划问题的最优解中,如果对应某一约束条件的对偶变量值为零,则该约束条件取严格等式;反之如果约束条件取严格不等式,则其对应的对偶变量一定为零。 性质六:线性规划的原问题及其对偶问题之间存在一对互补的基解,其中原问题的松弛变量对应对偶问题的变量,对偶问题的剩余变量对应原问题的变量;这些互相对应的变量如果在一个问题的解中是基变量,则在另一问题的解中是非基变量;将这对互补的基解分别代入原问题和对偶问题的目标函数有z=w. B.对偶单纯形法(参考书p64页) 设某标准形式的线性规划问题,对偶单纯形表中必须有c j -z j ≤0(j=1...n),但b i (i=1...m)的值不一定为正,当对i=1...m ,都有b i ≥0时,表中原问题和对偶问题均为最优解,否则通过变换一个基变量,找出原问题的一个目标函数值较小的相邻的基解。 3)为什么要引入对偶单纯形法 从理论上说原始单纯形法可以解决一切线性规划问题,然而实际问题中,由于考虑问题的角度不同,变量设置的不同,便产生了原问题及其对偶问题,对偶问题是原问题从另外一个角度考虑的结果。用对偶单纯形法求解线性规划问题时,当约束条件为“≥”时,不必引入人工变量,使计算简化。 例如,有一线性规划问题: min ω =12 y 1 +16y 2 +15 y 3 约束条件 ?? ?? ???≥=≥+≥+0)3,2,1(3522 423121 i y y y y y i

(完整版)哈工大matlab期末考试题试题及答案(95分)分解,推荐文档

建议收藏下载本文,以便随时学习! 春季学期MATLAB期末作业 学院:机电工程学院 专业:机械制造设计及其自动化 学号: 班号: 姓名: 我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

2013年春季学期 MATLAB 课程考查题 姓名: 学号: 学院: 机电学院 专业: 机械制造 一、 必答题:1.matlab 常见的数据类型有哪些?各有什么特点? 常量:具体不变的数字 变量:会根据已知条件变化的数字 字符串:由单引号括起来的简单文本 复数:含有复数的数据 2.MATLAB 中有几种帮助的途径? (1)帮助浏览器:选择view 菜单中的Help 菜单项或选择Help 菜单中的 MATLAB Help 菜单项可以打开帮助浏览器; (2)help 命令:在命令窗口键入“help” 命令可以列出帮助主题,键入 “help 函数名”可以得到指定函数的在线帮助信息; (3)lookfor 命令:在命令窗口键入“lookfor 关键词”可以搜索出一系列 与给定关键词相关的命令和函数 (4)模糊查询:输入命令的前几个字母,然后按Tab 键,就可以列出所有以 这几个字母开始的命令和函数。 注意:lookfor 和模糊查询查到的不是详细信息,通常还需要在确定了具体 函数名称后用help 命令显示详细信息。 3.Matlab 常见的哪三种程序控制结构及包括的相应的语句? 1.顺序结构:数据输入A=input(提示信息,选项) 数据输出disp(X) 数据输出fprintf(fid,format,variables) 暂停pause 或 pause(n) 2.选择结构: If 语句: if expression (条件) statements1(语句组1) else statements2(语句组2)建议收藏下载本文,以便随时学习!我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

哈工大机械原理大作业凸轮

机械原理大作业二 课程名称: _______ 设计题目: 凸轮机构设计 院 系: ------------------------- 班 级: _________________________ 设计者: ________________________ 学 号: _________________________ 指导教师: ______________________ 哈尔滨工业大学 Harbin I nstituteof Techndogy

设计题目 如右图所示直动从动件盘形凸轮机构,选择一组凸轮机构的原始参数, 据此设计该凸轮机构。 凸轮机构原始参数 二.凸轮推杆升程、回程运动方程及推杆位移、速度、加速度线图 凸轮推杆升程运动方程:冷3唱—亦(中] 156 12 .. v 」1 - cos()] 兀1 5 374.4 2 12 ? a 1si n( ) 兀 1 5 % t 表示转角, s 表示位移 t=0:0.01:5*pi/6; %升程阶段 s= [(6*t)/(5*pi)- 1/(2*pi)*si n(12*t/5)]*130; hold on plot(t,s);

t= 5*pi/6:0.01:pi; %远休止阶段 s=130; hold on plot(t,s); t=pi:0.01:14*pi/9; %回程阶段 s=65*[1+cos(9*(t-pi)/5)]; hold on plot(t,s); t=14*pi/9:0.01:2*pi; %近休止阶段 s=0; hold on plot(t,s); grid on % t表示转角,令3 1=1 t=0:0.01:5*pi/6; %升程阶段v=156*1*[1-cos(12*t/5)]/pi hold on plot(t,v); t= 5*pi/6:0.01:pi; %远休止阶段

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大数控技术课大作业

《数控技术》课程大作业 院(系)机电工程学院 专 业机械制造及其自动化 姓 名 学 号 班 号 完成日 期

哈尔滨工业大学机电工程学院 第一作业:加工中心零件加工编程 一、目的和要求 本作业通过给定一台数控机床具体技术参数和零件加工工艺卡,使学生对数控机床具体参数、加工能力和加工工艺流程有直观了解和认识。同时,锻炼学生解决实际加工问题的能力。 1.了解加工中心的具体技术参数,加工范围和加工能力; 2.了解实际加工中,从零件图纸分析到制定零件加工工艺过程; 3.按照加工工艺编写指定的工序的零件数控加工程序。 二、数控机床设备 (1)机床结构 主要由床身、铣头、横进给、升降台、冷却、润滑及电气等部分组成。XKJ325-1数控铣床配用GSK928型数控系统,对主轴和工作台纵横向进行控制,用户按照加工零件的尺寸及工艺要求,先编成零件的加工程控,最后完成各种几何形状的加工。 (2)机床的用途和加工特点 本机床适用于多品种中、小批量生产的零件,对各种复杂曲线的凸轮、孔、样板弧形糟等零件的加工效能尤为显著;该机床高速性能好,工作稳定可靠,定位精度和重复精度较高,不需要模具就能确保零件的加工精度,减少辅助时间,提高劳动生产率。 (3)加工中心的主要技术参数 数控机床的技术参数,反映了机床的性能及加工范围。

进给切削进行速度mm/min 1-4000 快速移动速度m/min 15/10 刀库刀库容量把20(24) 刀具尺寸/重量mm/kg ?80×300/8 换刀时间s 气液动7S凸轮3S 加工能力钻孔能力mm ?32 镗孔能力mm ?80 攻丝能力mm M24 铣削能力Cm3/min 100 位置精度定位精度mm ±0.005 重复定位精度mm ±0.003 其它气源气压L/min,b ay 250 5-7 机床重量(净重/毛重) T 7.5/8.5 机床外型尺寸mm 2756×2696×3000 包装箱尺寸mm 3840×2545×3080 三、加工工艺制订 (一)加工零件 加工图1零件,材料HT200,毛坯尺寸长*宽*高为170×110×50mm,试分析该零件的数控铣削加工工艺、如零件图分析、装夹方案、加工顺序、刀具卡、工艺卡等,编写加工程序和主要操作步骤。 图1 加工零件图

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈尔滨工业大学机械课程机器人技术课程大作业

机器人技术课程作业——PUMA机器人 如上图所示的PUMA机器人,要求实现右图所示的运动,求解: ①建立坐标系; ②给出D-H参数表; ③推导正运动学、逆运动学; ④编程得出工作空间。 解: ①建立坐标系 a、建立原始坐标系

b、坐标系简化 ②给出D-H参数表 a、PUMA机器人的杆件参数 d0.6604m,1 d 0.14909m, 2 d 0.43307m, 4 d 0.05625m 6 a 0.4318m,a3 0.02032m 2 b、D-H参数表 关节i i i L i d i 运动范围 1 90 0 0 0 -160 o~160o o o 2 0 -90 0 d2 0.14909m -225 ~45 3 -90 0 a2 0.4318m 0 -45 o ~225o 4 0 -90 a3 0.02032m d4 0.43307m -110 o ~170o 5 0 90 0 0 -100 o ~100o 6 0 -90 0 d6 0.05625m -266 o ~266o

③推导正运动学、逆运动学 a、正运动学推导 c s0a i i i 1 由式i1 T i s c c c s d s i i1i i1i1i i1 s s c s c d c i i1i i1i1i i1 可得:0001 c s 1100c s 22 00c s0a 332 0 T 1s c 00 11 0010 1 T 2 001 d 2 s c 22 00 2 T 3 s c 00 33 0010 000100010001 c s0a 443 c s 55 00c s 66 00 3 T 4001 d 4 s c 44 00 4 T 5 0010 s c 55 005T 6 0010 s c 66 00 000100010001 由0012345 T T T T T T T,得机械手变换矩阵: 6123456 n o a p x x x x 0 T 6n o a p y y y y n o a p z z z z 0001 n c(c c c c s s c)s c s c c c s s s c s x236541641236516541641 n c(c c c s s s s)s c s s c c s c s c c y236541641236516541641 n s(c c c s s)c c s z23654642365 o c(s c c c c s c)s s s c s c s s c c s x236541641236516541641 o c(s c c s c s s)s s s s s s c c c c c y236541641236516451641 o s(s c c s s)c c s z23654642365 a c s c c s c c s s s x235412351541 a c s c s s c s s s c y235412351541 a c c s s c z2352354

哈尔滨工业大学计算机应用基础平时作业及答案

哈尔滨工业大学计算机应用基础平时作业及答案 一、单选题 1、第二代计算机的电子器件主要为______。 A:晶体管 B:电子管 C:集成电路 D:生物器件 答案: A 2、电子计算机按规模划分,可以分为_____。 A:通用计算机和专用计算机 B:数字电子计算机和模拟电子计算机 C:科学与过程计算计算机、工业控制计算机和数据计算机 D:巨型计算机、小型计算机和微型计算机 答案: D 3、计算机能够进行自动处理的基础是______。 A:能进行逻辑判断 B:快速运算 C:存储程序 D:计算精度高 答案: C 4、计算机网络的应用越来越普遍,它的最大好处在于______。 A:节省人力 B:存储容量扩大 C:可实现资源共享 D:使信息存取速度提高 答案: C 5、数据是信息的______。 A:翻版 B:延续 C:载体 D:副本 答案: C 6、计算机系统中,由电子线路、元器件和机械部件等构成的具体装置是______。 A:外设 B:主机 C:硬件系统 D:外存设备 答案: C 7、一台个人计算机的内存容量为256MB,也就是其内存有______。 A:256兆字节 B:256兆字长 C:256兆比特 D:256兆个字 答案: A

8、计算机的软件系统可分为两大类是______。 A:程序和数据 B:操作系统和语言处理系统 C:程序、数据和文档 D:系统软件和应用软件 答案: D 9、下列设备中,属于输入设备的是______。 A:声音合成器 B:激光打印机 C:光笔 D:显示器 答案: C 10、计算机主板固定在计算机主机箱箱体上,其主要组件中有一种存储器称为cache,它的中文名称是______。 A:卡存储器 B:现代存储器 C:高速缓冲存储器 D:高速内存 答案: C 11、目前,打印质量最好的打印机是______。 A:针式打印机 B:点阵打印机 C:喷墨打印机 D:激光打印机 答案: D 12、微机的核心部件是______。 A:总线 B:微处理器 C:硬盘 D:内存储器 答案: B 13、下列叙述中,正确的是______。 A:激光打印机属于击打式打印机 B:CAI软件属于系统软件 C:软磁盘驱动器是存储介质 D:计算机运行速度可以用多少个MIPS来表示 答案: D 14、与十进制数93等值的二进制数是______。 A:1101011B B:1111001B C:1011111B D:1011101B 答案: D 15、各种计算机中,字符的ASCII码不完全相同。但26个英文大写字母从A~Z,在ASCII码中的序号是相同的,下面的说法正确的是______。 A:其序号依次为0~25 B:其序号依次为1~26

相关文档
最新文档