数字式电容测量仪的设计课程设计-word版

202x年数字式电容测量仪的设计课程设计-word版

北华航天工业学院

《电子技术》

课程设计报告

报告题目:___________ 数字式电容测量仪 ___________ 作者所在系部:电子工程系 __________________ 作者所在专业:_______ 电子信息工程 _______________ 作者所在班级:___________________________

作者姓名:_____________________________

作者学号:_______________________________________

指导教师姓名:___________________________

完成时间:2011年6月1日______________

202x年数字式电容测量仪的设计课程设计-word版课程设计任务书

202x年数字式电容测量仪的设计课程设计-word版

电容具有隔直流同交流的能力,在电子电路中是十分重要的元件,电容的容值在电路设计中是一重要因素。由于在使用一段时间后,电容容值与出厂是所标注的值有所偏差,这就需要设计仪器去测量电容容值。传统的测量方法都采用交流电桥法和谐振法,通常采用刻度读数,此方法不够直观。

本课题主要介绍了数字电容测量仪的原理和设计思想。它由测试电路和显示电路两部分组成。在测试电路中 555 定时器做多谐振荡器,它通过电容配合电阻充放电产生一系列的方波脉冲,通过计数器记数算出电容的值,最后通过数码管显示被测电容的容值。

设计的电容测量仪的测量范围是 10uF~990Uf ,显示的数值 N 是 00~99 ,数字显示器所显示的数字N与被测电容量C X的函数关系满足关系 N=Cx心0卩F)。当被测电容值超出 990uf 时,数码管呈全暗状态,发光二极管呈两状态,表示超出量程。

该电容测量仪相对比较直观,且误差较小,将在电容测量方面显示出它读数方便,精确的优越性。

关键字:测脉法脉冲数显电容

目录

、概述 ,,,

J J J J J J

J J J J J J

J J J J J J

J J1

、方案设计与论

证 ,,,,,,,,J J J J J J 2

3

1.测脉宽法 ,,,,,,,,,,,,,,,,,,,,,,,,,,1 2.测频法 ,,,,,,,,,,,,,,,,,,,,,,,,,,,2

3.测压法 ,,,,,,,,,,,,,,,,,,,,,,,,,,,2

单元电路设计与分析 ,,,,,,,,,,,,,,,,,,,,,,3 1.时钟脉冲发生电路 ,,,,,,,,,,,,,,,,,,,,,3 2.闸门信号产生电路 ,,,,,,,,,,,,,,,,,,,,,3 3.计数脉冲 fX 产生电路 ,,,,,,,,,,,,,,,,,,,,4 4.计数电路 ,,,,,,,,,,,,,,,,,,,,,,,,,

■ •y i l ] a i_i

5

5 .锁存器 ,,,,,,,,,,,,,,,,,,,,,,,,,,5

6.译码器 ,,,,,,,,,,,,,,,,,,,,,,,,,6

四、总原理图及元器件清单 ,,,,,,,,,,,,,,,,,,,, 6

五、结论 ,,,,,,,,,,,,,,,,,,,,,,,,,,,,

—口V LJ J J J J J J J J J J J J J J J J J J J

6

六、心得体会 ,,,,,,,,,,,,,,,,,,,,,,,,,,

1—* 1 J 1 1 JJJJJJJJJJJJJJJJJJJJJJJJJJ6

参考文献 ,,,,,,,,,,,,,,,,,,,,,,,,,,6

一、概述

本方案通过555定时器够成的单稳态触发器使被测电容Cx产生一个CP脉冲(闸

门信号),使闸门信号控制另一个由555定时器构成的多谐振荡器,使其产生与Cx相对应的一系列CP脉冲。通过74LS160、74LS161计数器来测CP脉冲的个数,然后经过 74LS273八D触发器锁存其数据,最后通过 74LS48译码器,使七段数码管显示其数值 N(N=Cx/10uf)。用两只LED数码管构成数字显示器。数码管用来显示后两位,均用十进制数表示,即数字显示器可显示出的最大数字和最小数字分别为 99 和 0。在正常工作条件下,测量电路接上 C X 后数字显示器便可自动显示出数字,即不需要测试者进行清零、启动之类的操作,便可正常显示。响应时间 T x不超过2s,即接上C X后,在2s 之内,显示器所显示的数字 N符合上述函数关系。Cx的测量范围为10uF~990uF

当Cx 超出量程时七段数码管呈灭零状态,报警二机极管发光,表示超量程。

测量电路有被测电容器的两个插孔,并标上符号“+ ”和“ -” “ +”端电位

瞬时值不低于“-”端电位的瞬时值,而且它们之间的开路电压值最大不超过 5.5V。

二、方案设计与论证

数字式电容测量仪的作用是以十进制数码的方式来显示被测电容的值,从而判断电

容器质量的优劣及电容参数。由给出的设计指标,它的设计要点可分为两部分:一部分是CX容值测试电路,另一部分是 LED显示电路,使数码显示与 CX符合N=Cx/(10卩 F)的函数关系。

能满足上述设计功能可以实施的方案很多,现提出下面三种参考方案。

1.测脉宽法

测脉宽法的基本原理如图1所示,利用单稳或电容充放电规律等,将电容容量变换成与其正比的脉冲宽度,即T x与C x成正比。只要把此脉冲宽度T x和标准脉冲信号相与,便可得到与T x成正比的计数脉冲f x,如果时钟脉冲的频率等参数合适,便可实现题中所要求的函数关系,因此本课题选择了测脉宽法来测量电容值。

图1测脉宽法的原理框图

2.测频法

测频法的基本工作原理是:将图1中的门控信号作为标准门控信号,将待测电容作为

时钟脉冲发生器的一部分,在一定条件下,发生器的输出频率与电容值是线性关系,只要标准门控信号参数合适,测出时钟脉冲发生器的频率就可测出电容值。

3.测压法

测压法的基本原理是:把电容量通过电路转换成电压量,然后把电压量经模数转换

器转换成数字量显示。典型测压法设计方案如图 2所示。

图2测压的原理框图

本设计选择了测脉宽法,用闸门信号来控制时钟信号产生的CP脉冲个数,使其来反映被测电容Cx的数值。用测脉宽法得到的数值比较容易通过数码管来显示,可省去数码转换而且误差相对比较小。

三、单元电路设计与分析

1.时钟脉冲发生电路

图3-a中的U1为由555定时器构成的多谐振荡器。它在接通电源后,不需要外加触发信号,便能自动的产生矩形脉冲。此电路中用来产生时钟脉冲信号(如图3- b所示)。电源接通后,VCC通过电阻R1、R2向电容C i充电,当%=2/3VCC时,因为2端与6 端相连,则有w=V6,使比较器C1输出翻转,输出电压 V0=0。同时,放电管T导通,电容C通过R2放电;当电容电压v c下降至1/3VCC时,比较器C2工作,输出电压 V0 变成高电平,C放电终止,VCC通过电阻R1,R2又开始充电;周而复始,形成振荡。其振荡周期与电容充放电时间有关,充电时间为:T^(R1

R2)CIn2,放电时间为

T2 二 R2CIn2,则时钟信号一个周期为 T =T1 T2 =(R 2R2)CIn2。

2. 闸门信号产生电路

图4-a 中的U2为由555定时器构成的单稳态触发器。2端作为触发信号的输入端, 由U1产生的时钟脉冲信号来提供。它有稳态和暂稳态两个不同的工作状态。在外界脉 冲作用下,能从稳态翻转到暂稳态,在暂稳态维持一段时间后,再自动返回到稳态。这 段时间称为暂稳态时间取决于外界 R 、C 的值。即:t w=R 3

C X

l n3 = 1.1R 3

C x 。 U2产生 的信号用来作为门控信号

3. 计数脉冲f x 的产生电路

根据计数原理列出参数关系:

(C x /10C) (R • 2R 2)C In 2 =1.1R 3C X ,化简得

图3-b 时钟脉冲信号产生波形

图4-b 单稳态触发器产生波形

图3-a 时钟脉冲发生电路

图4-a f x 产生波形图

(R i +2R 2)I n2=11R 3。调节R 、R ?、R 3的值使其满足关系。

把由U1产生的时

钟脉冲信号与U2产生的门控信号相与,就能得到与 C X 成正比的计数脉冲…(如图5

4. 计数电路

74LS160是同步四位十进制加法计数器,74LS161是十六进制计数器。功能表均如 图6-a 所示

I D

EP

ET

工作伏奉

0 X

X

X

ftT n 1

1 1

X

five

X 1

1 1

0 1

僅幷

X

]

X

PL

1

1 1

Hit

图 6-a 74LS160、74LS161 功能表

74LS160所计数为个位,74LS161所计数为十位。74LS160的EP 和ET 端都接高电平,

74LS161的EP 和ET 端均接74LS160的进位端RCO 两个计数器的CLK 信号均由计数脉 冲f x 提供,MR 和LOAD 匀接闸门信号,D3 D2 D1、D0均低电平。

图5-b 计数脉冲产生的波形

所示)

删X

图5-a 计数脉冲的产生电路 * L1&,:

当闸门信号到来时,计数器开始工作。每来一个时钟信号 74LS16 0开始计数,当到

9是进位信号为1, 74LS161开始工作计一次数,直到闸门信号变为 0时停止计数。

5. 锁存器

在电路设计中需要锁存2个4位二进制数,由于74LS273是八D 触发器,能同时锁 住8位数,所以选择使用74LS273它具有异步控制端的功能。其引脚图如 7-a 所示。

由于74LS273是在上升沿触发,要想在闸门信号从1变为0的时候锁存,就必须把闸 门信号通过一个反相器后作为 CLK 信号。其MR 端接高电平。被锁存信号由D0到D7输 入,输出结果由QO 到Q7引出

0? Dr 0^ Of % Dj (Xi Qj CP

6. 译码器

此电路中译码器选用集成电路 74LS4&它是BCD 七段字型译码,具有灭零输入端的 功能。74LS48的引脚图如下图8-a 所示。使用时3、5端都接高电平,4端是灭零输入 端,当4为高电平时74LS48控制的显示管呈暗状态,不显示数。 ABC 端接输入信号, abcdefg 输出端用来驱动显示器。如图 8-b 所示。

1

2 |3

4

£

Jj

fl i id

q. % % ® ® o t 衙 no

图6-b 计数器连接图

如图7-b 所示

图7-b 74LS273接线图

图7-a 74LS273引脚示意图

7. 显示器

电路中显示器用的是7SEGft 阴极显示器。器原理图如图 9-a 所示,公共端接地, 当输入端为高电平的时候,二极管亮,显示相应的数字。

7 A a D b C c D d e LT f BIXRBO g

RBI

13 1 12 2 11 5

ID 土

9 15

14

5 C

图8-a74LS48引脚图

icria ib 3fc ]Fd Ve

图9-a 显示器原理图 四. 总原理图及元器件清单

1.总原理图

图9-b 74LS48驱动显示器原理图

图8-b 用74LS48驱动显示器连接

Kg

ii

2.元器件清单

兀件序号

Qua ntity Descriptio n Refere nce_ID

Package

1 1uF C1 AXIAL180

2 10 nF C2,C3

0603

1 LED

D1

1 30K Q R1 RES180 1 300 Q R

2 RES180 1 3.84 Q K R4 RES180

1 1K Q

R5,R6,

,R17,R18 RES180

2 555 U1,U2

DIL08

1 74LS160 U4 DIL14

1 74LS161 U3 DIL16

1 74LS273 U5 DIL20

2 74LS08 U7A,U7B DIL14

2 74LS48 U9,U10 DIL16

1

74LS04 U6 DIL14

1 7474

U13

DIL14

mu 皿

--

■ V …

F Tffi 1

~ U4 丄

五、结论

经过Proteus7.5sp3仿真软件仿真后,可以得到结论:当电容的取值在10uF~990Uf 时,LED 用来显示后两位,均用十进制数表示,显示的数值 N 是 00~99 中的一值,数字显示器所显示的数字 N与被测电容量C X的函数关系是N=C x心0卩F)。当被测电容值超出量程时数码管呈灭零状态,报警二极管发光。

六、收获与体会

1.掌握了数字电容测量仪的工作原理。理论联系实践,实践反馈理论,以前学过的知识得以回顾。

2.但是调试遇到了很大的问题。输入被测电容运行后,最初显示的是正确容值,经过一段时间后,数码管会发生跳变,有时跳变值跟理论值相差很大。但是最终通过我们一起思考,使电路得到了很大的改善。

3.更重要的是学会了使用陌生器件

4.课程设计不但检验了我们这学期来所学的知识,锻炼了我们的动手实践能力和对问题的分析、思考能力,还教会我们要善于发现错误、改正错误。我会带着这种探索的精神和认真思考的态度去对待今后的学习。

七、参考文献

【 1 】电子技术动手实践主编崔瑞雪张增良北京航空航天大学出版社

【 2】数字电子技术基础主编阎石高等教育出版社

数字式电容测量仪的设计

课程设计任务书 下达任务书日期:2年01102月24日 课程设计任务书 1.设计目的: 通过本课程设计,主要训练和培养学生1.查阅资料,选用公式的能力;2.树立既考虑技术上的先进性与可行性,又考虑经济上的合理性的正确设计思想,在这种设计思想的指导下去分析和解决实际问题的能力;3.正确的进行设计电路的能力和应用计算机的能力; 用简洁的文字清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): 设计说明: 当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 设计要求: (1)自制稳压电源。 (2)被测电容的容量在0.01M F至100M F范围内 (3)设计两个的测量量程。 (4)用3为数码管显示测量结果,测量误差小于20%。 (5)至少设计两个以上的测量量程,使被测电容的容量扩大到100F至100M F范围内。 (6)测量误差小于10%。

3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕: 1)论文符合规定要求。 2)器件的工作原理及应用。 3)电路原理图。 4)必要的仿真结果。 5)参考文献(不少于三篇)。 课程设计任务书 4.主要参考文献: .傅劲松电子制作实例集锦福州:福建科学技术出版社, 2张庆双实用电子电路例机械工业出版社, 3刘修文实用电子电路设计制作例中国电力出版社, 5.设计成果形式及要求: 课程设计说明书 电路原理图 仿真结果。 6.工作计划及进度:

年月日~月日资料调研 月日~年月日方案论证,进行详细设计,电路仿真,分析仿真结果 年月日~月日完成设计总结报告(附完整电路图)月日~答辩或成绩考核 系主任审查意见: 签字: 年月日 一、设计方案简介: 1、原理:利用单稳态触发器,可以把被测电容的大小转换成脉冲的窄宽通过单 稳态触发器产生定时时间,时基电路提供标准频率基础信号,频率为100Kz 的脉冲,当定时一开始,闸门开通,被测脉冲通过闸门,计数器开始计数, 直到定时时间结束时闸门关闭,停止计数。若在定时时间内计数器计得的脉 冲个数为N,参数设置适当,则脉冲的个数N为电容容量的值。 2、系统框图设计: 系统总设计框图如图所示:

电容电感测量仪课程设计

《单片机技术》课程设计说明书 电容电感测量仪 学院: 学生姓名: 指导教师:职称 专业: 班级: 学号: 完成时间:

随着电子工业的高速发展,电子元器件的需求增加,电子元器件的适用范围亦越来越广泛。在生活应用中我们常常要测定电容、电感的大小。因此,设计一种安全、便捷的电容电感测量仪具有很大的现实必要性。 该电容电感测量仪以AT89S52单片机控制核心,通过测量频率来间接测量电容、电感,并对测试数据和测试结果进行保存记录。该电容电感测量仪是通过LCD1602液晶显示屏来显示当前测量值,当把待测的元件插上测试端子后,按下按键即开始进行相应测量。本设计测量精度相对较高,且性能稳定,是一款操作简单、具有记忆功能的智能化电容电感测量仪。 电容电感测量仪具有测量工作量小、快捷简便、性能稳定、测量准确、故障检出率高等特点。它解决了现场测量单个电容器需要拆除连接线、测量电抗器的电感、电容表输出电压低导致故障检出率低等问题,为推动电子工业的发展做了一定的贡献。 关键词:电容电感测量仪;AT89S52;LCD1602

1 绪论 (1) 1.1 课题的背景及意义 (1) 1.2 电容电感测量仪的发展现状 (1) 1.3 设计的主要内容 (1) 2 系统整体设计 (3) 2.1 设计思想 (3) 2.2 系统总体框图 (3) 3 硬件系统的设计 (5) 3.1 主要元器件介绍 (5) (5) (9) (9) (10) 3.2 硬件单元电路的设计 (11) ……………………………………1 1 ………………………………………………………1 3 ………………………………………………………1 3 ………………………………………………………1 4 4 软件系统的设计 (15) 4.1 软件设计的描述 (15) 4.2 系统软件的程序设计 (15) ...................................................1 5 .........................................................1 6 ...................................................1 6 (17) (17) 5 系统测试与结果分析 (19) 5.1 对电容电感的测试结果 (19)

数字式电容测量仪的设计课程设计-word版

202x年数字式电容测量仪的设计课程设计-word版 北华航天工业学院 《电子技术》 课程设计报告 报告题目:___________ 数字式电容测量仪 ___________ 作者所在系部:电子工程系 __________________ 作者所在专业:_______ 电子信息工程 _______________ 作者所在班级:___________________________ 作者姓名:_____________________________ 作者学号:_______________________________________ 指导教师姓名:___________________________ 完成时间:2011年6月1日______________

202x年数字式电容测量仪的设计课程设计-word版课程设计任务书

202x年数字式电容测量仪的设计课程设计-word版 电容具有隔直流同交流的能力,在电子电路中是十分重要的元件,电容的容值在电路设计中是一重要因素。由于在使用一段时间后,电容容值与出厂是所标注的值有所偏差,这就需要设计仪器去测量电容容值。传统的测量方法都采用交流电桥法和谐振法,通常采用刻度读数,此方法不够直观。 本课题主要介绍了数字电容测量仪的原理和设计思想。它由测试电路和显示电路两部分组成。在测试电路中 555 定时器做多谐振荡器,它通过电容配合电阻充放电产生一系列的方波脉冲,通过计数器记数算出电容的值,最后通过数码管显示被测电容的容值。 设计的电容测量仪的测量范围是 10uF~990Uf ,显示的数值 N 是 00~99 ,数字显示器所显示的数字N与被测电容量C X的函数关系满足关系 N=Cx心0卩F)。当被测电容值超出 990uf 时,数码管呈全暗状态,发光二极管呈两状态,表示超出量程。 该电容测量仪相对比较直观,且误差较小,将在电容测量方面显示出它读数方便,精确的优越性。 关键字:测脉法脉冲数显电容 目录 、概述 ,,, J J J J J J J J J J J J J J J J J J J J1 、方案设计与论 证 ,,,,,,,,J J J J J J 2 3

数字式电容测量仪设计

摘要 随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积重量轻的方向发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。在日常的电路工程或者是电路试验中,电容是一个最常见的元器件,实际应用中,对电容的电容值的准确度要求也是很高的。在实际操作中,对电容的测量存在许多麻烦,数值的表现也不够直观。数字电容测试仪,只要接入被测电容,打开开关以后,就能直接在屏幕上显示出电容的大小,方便在以后的实验中对电容的使用。 本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容 C。其脉冲输入信号 x 是555定时器构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值围。因为单稳态触发器的输出脉宽是根据电容 C值的不同而不同的,所以脉宽即是对应的电容值,其精 x 确度可以达到0.1%。然后在电路中加入一个电容和一个电阻构成的阻容平滑滤波器,将单稳态触发器输出的信号滤波,使最终输出电压 v与被测量的电容值呈 o 线性关系。最后是输出电压的数字化,将 v输入到译码器中翻译成BCD码,输 o 入到LED数码管中显示出来。 关键字:555定时器,脉冲,LED数码管,电容

目录摘要1 目录2 第一章引言3 1.1设计背景及意义3 1.2电容测试仪的发展历史及研究现状4 1.3本设计的要求4 第二章系统方案设计5 第三章电路功能单元设计与原理7 3.1直流稳压电源设计7 3.2基准脉冲发生电路设计8 3.3待测电容容量时间转换电路设计9 3.4闸门控制电路设计10 3.5译码和显示电路设计11 3.6量程档位设计12 第四章系统参数设定13 4.1基准脉冲参数设定13 4.2量程档参数设定13 第五章系统调试与测试结果14

简易数字式电容测试仪的设计

目录 一. 设计要求 (2) 二. 方案选择及电路的工作原理 (2) 三. 单元电路设计计算与元器件的选择 (2) 四. 设计的具体实现 (3) 1. 系统概述 (3) 2. 单元电路设计、仿真与分析 (6) a. 单稳态触发器 (6) b. 多谐振荡器 (8) c. 计数器 (9) 3.电路的安装与调试 (10) 五.心得体会及建议 (11) 六.附录 (12) 七.参考文献 (15)

简易数字式电容测试仪设计报告 一. 设计要求 设计和实现一个简易数字式电容测试仪――电容表。 (1).被测电容范围:1000PF(1nF)~10uF(10000nF); (2).测试误差<10%; (3).电容值至少用两位数码管显示。 二. 方案选择及电路的工作原理 方案一:首先555多谐振荡电路提供单位标准脉冲(周期T0),同时给555单稳态电路提供激励。待测电容接入单稳态电路,由于电路输出信号周期Tw与电容的值Cx成正比,通过计算使得T0正好为Cx = 1000pF时输出周期Tw0。然后在计数模块中,将Tw信号接入清零端,将T0接入同步信号输入端。再在寄存器模块中,将Tw信号接入置数端,将计数器的数据接入寄存器。最后对寄存器的数据进行译码后传入数码管。 方案二:首先取一个555多谐振荡电路提供单位标准脉冲,我们称其输出为UNIT_OUT。再取一个作为帧率控制的单稳态触发器将UNIT_OUT信号作为输入,其输出为一个单稳态时间为1s的周期信号,称为CON_OUT。此时我们将这两个输出取或运算后输入另一个用于测量电容的单稳态电路。如此设置后,仅当UNIT_OUT,CON_OUT均为低电平时,才会触发此电路产生单稳态,其输出称为CX_OUT。这时我们将UNIT_OUT接入40110计数端,用CON_OUT和UNIT_OUT来控制40110的计数使能和复位端。这样设置就能让电路实现电路自动计数,并且支持热插拔的功能。 方案选择:方案一的电路在上电后只对电容进行一次测量。再次测量都需要按一下控制单稳态的按键。并且如此得出的各模块输出不利于用示波器捕捉,不便于调试,而方案二就克服了方案一的缺陷,方案二的不足在于没能实现档位的自动变换。并且电路稍显复杂,调试容易出错。 三.单元电路设计计算与元器件的选择 1.单位周期脉冲产生器(555多谐振荡电路,输出为UNIT_OUT):其输出脉冲作 为一个最小单位标尺用于脉冲度量。调节占空比至90%以上,缩小低电平占用时间,避免帧率控制脉冲的低电平时间过短以致无法检测。不换挡位时UNIT_OUT的理论周期为10us,占空比为90%。

数字电容测量仪课程设计方案

数字电子技术课程设计报告书 课题名称 数字电容测量仪的设计 姓 名 吴亚香 学 号 1212501-35 学 院 通信与电子工程学院 专 业 电子科学与技术 指导教师 张学军 2014年 6月 10 日 ※※※※※※※※※ ※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※ ※ ※ 2012级电子科学与技术专业 数字电子技术课程设计

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图 图1 数字电容测量仪原理图 3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。

555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R 1和R 2 对电容C充电,其电压U C 由0按指数 规律上升。当U C ≥2/3V CC 时,电压比较器C 1 和C 2 的输出分别为U C1 =0、U C2 =1,基 本RS触发器被置0,Q=0、Q’=1,输出U 0跃到低点平U oL 。与此同时,放电管V 导通,电容C经电阻R2和放电管V放电,电路进入暂稳态。随着电容C放电, Uc下降到Uc≤1/3Vcc时,则电压比较器C 1和C 2 的输出为U c1 =1、U c2 =0,基本RS 触 发器被置1,Q=1,Q’=0,输出U 0由低点平U oL 跃到高电平Uo H 。同时,因Q’=0, 放电管V截止,电源Vcc又经过电阻R 1和R 2 对电容C充电。电路又返回前一个 暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。 VCC 图2 555组成多谐振荡器

数字式电容测量仪的设计

南京机电职业技术学院 毕业设计(论文) 题目数字式电容测量仪的设计 系部电子工程系专业电子测量技术与仪器 姓名周桥学号G1010314 指导教师郁镭 2013年04 月28 日

摘要 随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。机械锁的这些弊端为一种新型的锁---电子密码锁,提供了发展的空间。 本密码锁的主控芯片采用价格实惠而且容易购买的STC89C52芯片。密码输入采用矩阵键盘,密码显示采用LCD显示屏。系统能完成密码输入、正确开锁、错误提示这些基本的密码锁的功能。因此没使用编程器,用C语言实现系统的软件部分。此设计具有安全性高、价格低廉便于实现等优点。 关键词:电子密码锁STC89C52矩阵键盘

目录 摘要............................................................................................................................... I 目录............................................................................................................................ III 第一章引言. (1) 第二章系统方案设计 (3) 2.1测量部分的系统方案设计 (3) 2.2利用单片机进行编程翻译 (3) 2.3利用译码器进行翻译 (3) 第三章单元电路设计及原理 (4) 3.1电容测量电路及原理 (4) 3.1.1多谐振荡电路及工作原理 (4) 3.1.2单稳态触发电路图及工作原理 (5) 3.1.3显示电路设计 (7) 3.1.4滤波器工作电路图及原理 (7) 3.2模拟信号的处理以及数字化显示 (8) 第四章系统参数设定与测试结果 (10) 4.1系统参数设定 (10) 4.2测试结果 (10) 第五章设计结论与谢词 (11) 参考文献 (12) 附录1 元器件清单 (13)

数字式电容测量仪设计方案

本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容x C。其脉冲输入信号是555定时器构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值范围。因为单稳态触发器的输出脉宽是根据电容x C值的不同而不同的,所以脉宽即是对应的电容值,其精度可以达到0.1%。然后在电路中加入一个由LM741以及一个电容和一个电阻构成的阻容平滑滤波器,将单稳态触发器输出的信号滤波,使最终输出电压o v与被测量的电容值呈线性关系。最后是输出电压的数字化,将o v输入到7448译码器中翻译成BCD码,输入到LED数码管中显示出来 关键词:电容,555定时器,滤波器,线性,译码器,LED数码管

一、测量系统的方案设计 (3) 1.1、测量部分的系统方案设计 (3) 1.1.1、恒亚充电法测量 (3) 1.1.2、恒流充电法测量 (3) 1.1.3、脉冲计数法测量 (3) 1.2、测量信号数字化系统方案选择 (3) 1.2.1、利用单片机进行编程翻译 (4) 1.2.2、利用译码器进行翻译 (4) 二、单元电路的设计及原理 (4)

2.1、电容值测量电路及原理 (4) 2.1.1、多谐振荡器电路图及工作原理 (6) 2.1.2、单稳态触发器电路图及工作原理 (7) 2.1.3、滤波器工作电路图及原理 (8) 2.2、模拟信号的处理以及数字化显示 (9) 三、系统参数设定 (10) 四、结论及谢词 (11) 4.1、结论 (11) 4.2、谢词 (11)

参考文献··································································12附表:元器件明细 表 (13) 一 系统方案设计 1.1 测量部分的系统方案设计 1.1.1:恒压充电法测量。 用一个电阻和电容串联,用恒压源对电容进行充电,然后根据电容充电的曲线超过某个固定电压所需要的时间,利用曲线拟合的方法测量。测量所使用的原始公式是:t u i d C d ?=。可见电容的值和电压以及时间呈微分关系。用这种方法测量,时间和容值是非线性的。因此测量难度高,精度低,并且难以实现数字化。1.1.2:恒流充电法测量。 用恒流源对电容充电,此时电容的容量和充电时间是成正比的,所以可以利用AD 或者比较功能同某个固定电压比较,来实现电容测量。测量所用的原始公式是: q C u =.q i t =?.所以i t U c ?=。恒流源的电流大小是已知的,时间和电压也可以测量出来。由上面的公式即可求得电容的大小。使用这种方法来测量,精度较上一种方法有所提高,且便于操作和实现。但要使用恒流源,恒流源的的设计要求很高,且达不到测量所需要的精度要求,因此这种方法也不适用。1.1.3:用脉冲计数法测量电容。

简易数字电容测量仪设计

简易数字电容测量仪设计 引言 电容是电子电路中常见的元件之一,用于存储电荷和调节电路的频率响应。因此,对电容进行准确测量是电子工程师和爱好者常常面临的挑战之一。本文将介绍一种简易数字电容测量仪的设计,该仪器可以实现对电容的快速、准确测量。 一、设计原理 数字电容测量仪的设计基于计时电路的原理。当一个已知电容通过一个已知电阻充电或放电时,可以测量所需的时间来计算电容的值。具体而言,我们需要设计一个计时电路,通过测量电容充电或放电所需的时间,然后使用公式 C = t / (R * ln(2)) 来计算电容的值。 二、硬件设计 1. 电路图 我们的数字电容测量仪的电路图如下所示: 2. 元件选择 为了简化设计,我们选择了一些常用的元件。电阻选用1kΩ的标准电阻,电容选用10μF的陶瓷电容。此外,我们还需要一个微控制器来处理计时和计算电容值。 3. 电路实现

根据电路图,我们可以使用常见的电子元件将电路实现。首先,将电容和电阻按照图中的连接方式进行连接。然后,将微控制器与电路连接,以便进行计时和计算。最后,将电路供电,即可完成硬件的设计。 三、软件设计 1. 计时和计算 我们需要编写一个程序来实现计时和计算电容值。首先,我们需要初始化计时器,并设置为充电或放电模式。然后,我们可以使用计时器来测量所需的时间,并存储在一个变量中。最后,我们使用上述公式来计算电容的值。 2. 显示结果 为了方便使用者查看测量结果,我们可以在液晶显示屏上显示电容的值。我们需要编写一个程序来将计算得到的电容值转换为适当的格式,并将其显示在液晶屏上。 四、实验结果与讨论 我们通过使用实际的电容进行测试,验证了我们设计的数字电容测量仪的准确性和可靠性。实验结果表明,我们的测量仪可以精确地测量电容的值,并将其显示在液晶屏上。 五、总结 本文介绍了一种简易数字电容测量仪的设计。通过使用计时电路和

数字电容测量仪设计_毕业设计论文

数字电容测量仪设计 摘要 当前现代化电子市场正朝着快速及便利同时大容量的方向发展,现代电子产品几乎能运用到社会的各个领域当中,有力的推动了社会现代化的发展。同时,电子产品也被要求以更快速度的升级和更快速的处理。 本设计以STC89C52单片机和555振荡器作为主要元件,来实现对电容容量的基本测量。本设计基于555振荡器构成多谐振荡器来产生输入脉冲信号,然后再通过STC89C52单片机对方波脉冲进行中断计数而测量电容的。在多谐振荡器输出端加入一个74HC08使输出波型毛刺减少,从而使单片机测量结果变精确。555振荡器所产生的信号会根据所选的电阻的阻值不同,从而调节电容的参数值,这样就可以确定被测电容的容值范围,最后通过LCD1602显示器显示被测电容容值。在软件设计中,该设计使用C语言来编写程序。该仪器具有方便快捷,简单实用,价格低廉等特点。 关键词:电容测量;555振荡器;STC89C52;LCD1602

Abstract The current modern electronic market is headed in fast and convenient large capacity and the direction of development, modern electronic products to use to almost all areas of society of powerful promoted the development of modern society. At the same time, the electronic products also are required to faster speed upgrade and more fast process. This design to STC89C52 single-chip microcomputer and 555 oscillator as the main components, to realize the basic capacity of capacitance measurement. This design based on the 555 oscillator to generate more than a harmonic oscillator input pulse signal, and then through the STC89C52 microcontroller each other to interrupt pulse count and measurement of capacitance. In order to join the output oscillator a 74 HC08 to make the output waveform burr reduced, so that the single chip microcomputer variable precision measurement results. 555 oscillator generated signal will be selected according to the resistance of the resistance is different, which regulates capacitance parameter value, which can determine the capacity of the capacitance value range, the last through the LCD1602 display shows measured capacitance let value. In software design, this design using C language to write the program. The instrument has convenient and quick, simple, practical, and low prices, etc. Keywords: capacitance measurements;555 oscillator;STC89C52;LCD1602

电容测量仪课程设计

电容测量仪课程设计 电容测量仪是一种用于测量电容值的仪器,广泛应用于电子学、电工学、通信工程等领域。本文将介绍电容测量仪的原理、结构和使用方法,并设计一个电容测量仪的课程实践项目。 一、电容测量仪的原理 电容测量仪通过测量电容器两极板上的电荷量来计算电容值。电容器两极板上的电荷量与所加电压成正比,而电容值与电荷量成反比。因此,只需测量电容器上的电荷量和所加电压,就能准确计算出电容值。 二、电容测量仪的结构 电容测量仪通常由以下几个部分组成: 1. 电源:提供所需的电压,用于给电容器充电。 2. 电荷量测量模块:用于测量电容器两极板上的电荷量。 3. 电压测量模块:用于测量所加电压。 4. 显示屏:用于显示电容值。 三、电容测量仪的使用方法 1. 连接电源:将电容测量仪与电源连接,并确保电源输出的电压符合要求。 2. 连接电容器:将待测电容器的两极板分别连接到电容测量仪的电荷量测量模块和电压测量模块。

3. 充电:打开电源,使电容器充电,直到电容器两极板上的电荷量达到稳定状态。 4. 测量电荷量:使用电荷量测量模块测量电容器两极板上的电荷量,并记录下来。 5. 测量电压:使用电压测量模块测量所加电压,并记录下来。 6. 计算电容值:根据测得的电荷量和电压值,使用适当的公式计算电容值。 7. 显示结果:将计算得到的电容值显示在显示屏上。 为了帮助学生更好地理解和掌握电容测量仪的原理和使用方法,我们设计了一个电容测量仪的课程实践项目。该项目基于实际电路,要求学生完成以下任务: 1. 搭建电容测量电路:学生需要根据给定的电路图和器件,搭建一个电容测量电路。 2. 测量电容值:学生需要使用电容测量仪对给定的电容器进行测量,并记录下测得的电荷量和电压值。 3. 计算电容值:学生需要根据测得的电荷量和电压值,计算出电容值,并与理论值进行比较。 4. 分析误差:学生需要分析测量误差的来源,如电容器本身的误差、仪器的精度等,并讨论如何减小误差。 5. 提出改进措施:学生需要根据误差分析的结果,提出改进电容测量仪的措施,如改进测量电路、提高仪器精度等。

数字电容测量仪-课程设计

探探探探探探探 2012级电子科学与技术专业 数字电子技术课程设计 探※※※※※※※※ 数字电子技术课程设计报告书 课题名称数字电容测量仪的设计 姓名吴亚香 学号1212501 -35

专业电子科学与技术指导教师张学军 2014 年 6 月10 日

指导教师签名:__________________

2014年月日二、成绩 验收盖章 2014年月日

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数一译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图 外接电容 图1数字电容测量仪原理图

3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。 555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R i和R2对电容C充电,其电压U C由0按指数规律上升。当U C >2/3V CC时,电压比较器C i和C2的输出分别为U ci=0、U C2=1,基本RS触发器被置0, Q=0、Q' =1,输出U o跃到低点平U OL。与此同时,放电管V导通,电容C经电阻 R2和放电管V放电,电路进入暂稳态。随着电容C 放电,Uc下降到Uc< 1/3Vcc时,则电压比较器C i和C2的输出为U ci=1、U c2=0,基本RS触发器被置1, Q=1,Q' =0,输出U0由低点平U OL跃到高电平U O H。同时,因Q' =0,放电管V截止,电源Vcc又经过电阻R i 和R2对电容C充电。电路又返回前一个暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。

数字电容测量仪 课程设计

数字电子技术课程设计报告书 课题名称 数字电容测量仪的设计 姓 名 吴亚香 学 号 *******-35 学 院 通信与电子工程学院 专 业 电子科学与技术 指导教师 张学军 2014年 6月 10 日 ※ ※※※※※※※※ ※※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※ ※ ※ 2012级电子科学与技术专业 数字电子技术课程设计

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图 图1 数字电容测量仪原理图 3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。

555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R 1和R 2 对电容C充电,其电压U C 由0按指数 规律上升。当U C ≥2/3V CC 时,电压比较器C 1 和C 2 的输出分别为U C1 =0、U C2 =1,基 本RS触发器被置0,Q=0、Q’=1,输出U 0跃到低点平U oL 。与此同时,放电管V 导通,电容C经电阻R2和放电管V放电,电路进入暂稳态。随着电容C放电, Uc下降到Uc≤1/3Vcc时,则电压比较器C 1和C 2 的输出为U c1 =1、U c2 =0,基本RS 触 发器被置1,Q=1,Q’=0,输出U 0由低点平U oL 跃到高电平Uo H 。同时,因Q’=0, 放电管V截止,电源Vcc又经过电阻R 1和R 2 对电容C充电。电路又返回前一个 暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。 VCC 图2 555组成多谐振荡器

简易数字式电容测试仪设计报告

简易数字式电容测试仪设计报告 一、设计要求 1、要求能够测试电容的容量在100PF到100uF范围内; 2、至少能设计制作两个以上的测量量程; 3、用三位数码管显示测量结果。 二、设计的作用、目的 很多电子产品中,电容器都是必不可少的电子元件,它在电子设备中充当整流器的平滑滤波、电源的退耦、交流信号的旁路、交直流电路的交流耦合等。固定电容的容量可直接从标称容量上读出,而可调电容的容量则不确定,因此,设计一个简易电容测试仪作为测量工具是有必要的。 三、设计的具体实现 1系统概述 利用单稳态触发器或电容器充放电规律,可以把被测电容的大小转换成输出脉冲的宽度,即控制脉冲宽度Tx与Cx成正比。只要将此脉冲作为计数器的控制信号,便可得到计数脉冲,把计数脉冲送给计数器计数,然后再经译码器送至数码管显示。时钟脉冲可由555构成的多谐振荡器提供。如果时钟脉冲的频率等参数合适,数码管显示的数字N便是Cx的大小。该方案的原理框图如图1所示。

图1 电容测试仪原理框图 2 单元电路设计与分析 2.1计数译码显示电路(BCD译码器4511) 图6 显示器外引线排列图及接法 2.2时钟脉冲产生电路 多谐振荡器是一种自激振荡器,在接通电源后,不需要外加触发信号,便能自动产生矩形脉冲。先将555定时器构成施密特触发器,再将施密特触发器的输出端经RC积分电路接回到它的输入端即可构成多谐振荡器,且其电容C的电压Vc将在和之间反复振荡。其输出的脉冲作为计数器的CP。555构成的多谐振荡器电路图和工作波形分别如图7和图8所示。 图7 多谐振荡器电路图图8 多谐振荡器工作波形 555构成的时钟脉冲发生器的最高输出频率为200KHz,电路的振荡周期仅与外接元件R1、R2和C有关,不受电源电压变化的影响。多谐振荡器的主要参数: 充电时间: 放电时间:

课程设计报告简易数字式电容测试仪

《电子技术》课程设计报告 题目简易数字式电容测试仪 学院(部)电子与操纵工程学院 专业电气工程及其自动化 班级01 学生姓名阿不都热扎克·阿不都拉 学号0137 6 月24 日至 7 月 4 日共2 周 指导教师(签字) 简易数字电容测试仪

摘要 本设计是基于555按时器,连接组成多谐振荡器和单稳态触发器而测量电容的。单稳态触发器 C。其输出信号输入到555按时器组成的多谐振荡器的是能中所涉及的电容,即是被测量的电容 x 端。555按时器组成的多谐振荡器产生的信号的频率是1kHZ固定不变的。通过改变单稳态触发器中与cx串联的电阻的大小能够定量的确信被测电容的容值范围。因为单稳态触发器的输出脉宽是 依照电容 C值的不同而不同的,因此脉宽即是对应的电容值。最终多谐振荡器的振荡次数与被测x 量的电容值呈线性关系。最后是多谐振荡器次数的数字化,将f*t输入到4543译码器中翻译成BCD 码,输入到LED数码管中显示出来。 关键词::电容,555按时器,单稳态触发器,计数器,译码器,LED数码管 设计内容要求 1 测量电容容量范围为100pF~100μF。 2 应设计3个以上的测量量程。 3 用四位数码管显示测量结果。 4 用红、绿色发光二极管表示单位。 一、系统概述 此简易数字电容测试仪是基于555按时器,连接组成多谐振荡器和单稳态触发器而测量电容 C。当按下“开始”按钮时cx开始充电,的。单稳态触发器中所涉及的电容,即是被测量的电容 x 单稳态触发器的输出为“1”,单稳态触发器的输出信号输入到555按时器组成的多谐振荡器的是能端。555按时器组成的

多谐振荡 器产生的信号的频率是1kHZ 固定不变的。当单稳态 触发器的输出为“1”时多谐振荡器开始振动74160开始计数。当cx 充电冲到2/3Vcc 时单稳态触发器的输出为“0”,多谐振荡器停止工作,74160也停止计数。通过改变单稳态触发器中与cx 串联的电阻的大小能够定量的确信被测电容的容值范围。因为单稳态触发器的输出脉宽是依照电容 x C 值的不同而不同的,因此脉宽即是对应的电容值。最终多谐振荡器的振荡次数与被测量的电容 值呈线性关系。最后是多谐振荡器次数的数字化,将f*t 输入到4543译码器中翻译成BCD 码,输入到LED 数码管中显示出来,数码管显示的确实是电容的值,读数时应专门注意带上发光二极管显示的单位。 1 、 系统框图 表1 555按时功能表 计数器 单稳态触发器 多谐振荡器 计数器 待测电容 数码显示 译码器

数字电容测试仪课程设计报告

1 绪论 1.1 选题背景 电阻、电容、电感是电工领域中最基本的物理量。对于从事电气技术的工作者、生产人员,电容测量仪是不可缺少的常用仪表。任何一种仪器、仪表在保证一定的技术指标的前提下,结构简单、使用方便、工作可靠、价格低廉是评价其优劣的一项综合指标。 各种各样的电容器,它们在电路中分别起着不同的作用。与电阻器相似,通常简称其为电容,用字母C表示。顾名思义,电容器就是“储存电荷的容器”。尽管电容器品种繁多,但它们的基本结构和原理是相同的。两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。两片金属称为的极板,中间的物质叫做介质。电容器也分为容量固定的与容量可变的。但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。 由于电容元件本身的储能特性,因此它被广泛地应用于整流,滤波,耦合,振荡等电路中,几乎成为现代整机产品中不可或缺的分立元器件。因此,无论是对电容生产厂商或整机设计维修工程师来讲,通过电容测量仪准确地了解电容元件的参数特性都非常有必要,尤其是模拟电路和射频电路设计工程师。 电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,此次我的课程设计就是用数字显示方式对电容进行测量。 1.2 设计要求 1.2.1 基本部分 (1) 被测电容的容量在0.01μF至100μF范围内。 (2) 设计测量量程。

(3) 用3位数码管显示测量结果,测量误差小于20%。 1.2.2 发挥部分(选做) (1) 另增一个测量量程,使被测电容的容量扩大到100PF至100μF范围内。 (2) 测量误差小于10%。 2系统概述 2.1 方案的选择及可行性分析 数字式电容测量仪的作用是以十进制数码的方式来显示被测电容的大小,从而判断电容器质量的优劣及电容参数。由给出的指标设计,它的设计要点可分为俩部分:一部分是数码管显示,另一部分就是要将Cx值进行转换。 能满足上述设计功能的方案很多,我们共总结出下面四种参考方案: 方案一:把电容量通过电路转换成电压量,然后把电压量经模数转换成数字量显示。可由555集成定时器构成单稳态触发器、多谐振荡器等电路,当单稳态触发器输出电压的脉宽为:tw=RC㏑3≈1.1RC。从式中可以看出,当固定时,改变电容C则输出脉宽tw跟着改变,由tw的宽度就可以求出电容的大小。把单稳态触发器的输出电压Vo取平均值,由于电容量的不同,tw的宽度也不同,则Vo的平均值也不同,由Vo的平均值大小可以得到电容C的大小。如果把平均值送到 A/D转换器,经显示器显示的数据就是电容的大小。但是我们对A/D转换器的掌握程度还不够充分,设计有一些困难。 方案二:用阻抗法测R、L、C有两种实现方法:永恒流源供电,然后测元件电压;永恒压源供电,然后测元件电流。由于很难实现理想的恒流源和恒压源,所以它们适用的测量范围很窄。

数字式电容测试仪

课程设计说明书课程设计名称:电路课程设计 课程设计题目:数字式电容测试仪 学院名称:信息工程学院 专业:电子信息科学与技术班级: 学号:姓名: 评分:教师: 2014年10月 12日

数字电路课程设计任务书 20 14-20 15学年第1学期第1周-4周 题目数字式电容测试仪 内容及要求 设计制作一电容式测试仪 【基本要求】 ①设计一个能测量电容容量在100pF-100uF之间的测试仪 ②用3位数码管显示 ③多测量量程 【提高要求】 ①超量程判断及显示 ②击穿电容测试保护 进度安排 2014.9.1-2014.9.7:布置课题,查阅资料,方案分析并进行电路仿真; 2014.9.8-2014.9.23:完成系统的制作、焊接、调试; 2014.9.24-2014.9.28:设计结果检查,完成设计报告。 学生姓名: 指导时间:2014.9.1-2014.9.28 指导地点:综合楼中 506 任务下达2014 年 9月 1 日任务完成2014年 9 月 28 日 考核方式 1.评阅□√ 2.答辩□ 3.实际操作□√ 4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

电容具有隔直流同交流的能力,在电子电路中是十分重要的元件,电容的容值在电路设计中是一重要因素。由于在使用一段时间后,电容容值与出厂是所标注的值有所偏差,这就需要设计仪器去测量电容容值。传统的测量方法都采用交流电桥法和谐振法,通常采用刻度读数,此方法不够直观。 本课题主要介绍了数字电容测量仪的原理和设计思想。它由测试电路和显示电路两部分组成。在测试电路中555定时器做多谐振荡器,它通过电容配合电阻充放电产生一系列的方波脉冲,通过计数器记数算出电容的值,最后通过数码管显示被测电容的容值。 该电容测量仪相对比较直观,且误差较小,将在电容测量方面显示出它读数方便,精确的优越性。 关键词:测脉法,脉冲,数显,电容

相关文档
最新文档