电子电路课程设计报告-多功能数字钟毕业论文

电子电路课程设计报告-多功能数字钟毕业论文
电子电路课程设计报告-多功能数字钟毕业论文

湖南工程学院

课程设计

课程名称_________ 数字电路技术__________________ 课题名称_________ 多功能数字钟__________________

专业_____________ 班级_____________________________________

学号_________________________________________ 姓名____________________________________

指导教师_________________________________

2011 年10 月21 日

一、设计要求内容与要求

二、设计总体思路,基本原理和框图

三、单元电路设计

四、仿真结果

五、实验调试

六、总结与体会

七、整机原理图

二、设计总体思路,基本原理和框图

本次课程设计依据数字钟应具有时、校时两大主要功能,可知该电路必须有如下几部分组成,信号发生器、计数器、译码器、显示器。然而其中信号发生器是秒、分、时所共有的,秒、分、时又分别拥有自己的计数器、译码器和显示器。其中秒、分、时相互之间的关系又各不相同,从秒到分与从分到时都是六十进一,而小时则是逢24则归零,故需分开设计。秒、分、时之间还涉及到进位的设计。

基本原理:信号发生器产生频率为1HZ的信号送往秒计时器,开始计时,通过进位一次让分、时计时器计时,再将其分别译码,最后显示出来,当其中还要有附加电路校时。

数字时钟原理框图如下

单元电路设计

1■信号发生器

在本次课程设计中采用了有 CB555定时器设计的一个多振荡器,当然也可以采用石 英晶体多振荡器。

多振荡器是一种自激振荡器,在接通电源以后,不需要外加触发信号,便能自动 产生就行脉冲。由CB555的特性参数,可知当电源5V 时,在100没mA 的输出 电流下输出电压为3.3V ,故取Vcc 为5V 可以满足脉冲幅度的需求。当参数如下 时,周期T=( R 1+2R 2)Cln2=1。这时刚好满足一秒钟的信号要求。

[R]/4 k Ohm ffiO%

47 k Ohm -AAAr- WuF

555

GNC

vcc TRI CIS QUT 7HR

REE

CON

0.01 uF

GM ED-

VCC

图2 555芯片

47 k Ohm

r-AA/V

< ri

TR I OLII Ff ELS

DIS II R

2.计数电路

74610是中规模集成的同步十进制加法器简单的介绍就是当 R J LD J EP 、ET 接入咼 电平是,74610计数器开始计数,即当接收到时钟信号为上升沿时,记一次时。从 0000开始 状态开始计时,直到输入第九个技术脉冲为止,此时为 1001状态,再返回0000状态。当R D /端=0时所有触发器将同时被置零,且该操作不受其他输入端状态的影响。

4位同步二进制计数器74160的功能表

CLK

R D "

LD /

EP

ET

工作状态 X

0 X

X X 置零 f

1 0 X

X

预置数 X 1 1 0

1 保持 X

1 1 X

0 保持(但C=0)

+

1

1

1

1

计数

图3

图4

数字钟的计数电路是由两个60进制计算器与一个24进制计数器电路实现,数字钟的计数电路 的设计可以使用反馈清零法,当计数器正常工作时反馈电路不工作, 只有当脉冲信号来临时才 产生计数电路清零,实现相应模块的循环计数。以 60进制计数器来说,当计数器从 00,01 , 02,03…59计数时反馈门不起作用,只有当第 60个脉冲信号来临是才产生作用,使得计数器 置零。

在这里我们采用的是74160来实现60进制计数及24进制计数

CLR Z

CLK A B C D ENP GND

VR Eo

co

TD

16 15 14 13 12 IL

10 3

3■六十进制计数电路(秒、分计时电路)

简单说就是个位计数0~9,十位哦

0~5, 即个位十进制,十位逢6进

VCC RCO

vcc RCO

16

IB

14 QE

13

QC QE

is ENT Ifl

j-2-

60进制电路图

QG

QD EN? L2D'

7

6

74D&0

1L

MLK

EhF GNI S LK 黑 c>c 占

EGDEG

3

7

4■二十四进制电路图

24进制电路图

这样连接他们的反馈门都是由一个与非门接 来

临前反馈门不工作,计数器不实行置零,当第

74160的置0端CLR 构成。当第24个脉冲信号 24个信号来临是则实行置零操作。

简单说个位与十位都处于十进制计数状态, 都置零。 但当十位是二十四且个位是四时让个位与十位

5■显示模块

显示管,如下图从右至左两两依次是秒、分、时

74L60

图7

数码显示管的接线电路图如下

CLR f

>CUK

EMP

GND

卫1HL

!£■

13

1.

CLFT

^CLk

ENP

GNE

vcc

RCO

QA

QB

(X

EHT

LOAL f

16

15

14

13

io

6■校时电路

该电路如果是分钟校时,则右侧接秒信号(如果是时校时,则右侧接分钟信号),左侧输

入端接信号发生器输出的频率为1HZ的信号。当正常工作时,图中开关如图所示,需要校时时,则只需将开关打向另一侧。

1 kOhm

?——VW

1 k Ohm

ww

四、仿真结果

EWBf仿真结果电路图仿真主要在于验证电路图的功能,检查修正,直到得到正确的电路图。用如

下,没发现错误。

图10

五、试验调试

该电路调试主要分为几块,其一就是秒、分、时、的工作;其二就是秒分之间,分时之间的进位;最 后一项,校时电路能否正常工作。与调试有关截图如下:

1 k Ohrm

-AAAr

11 校时开关

图12

校时后恢复正常工作状态

六、总结与体会

1 k Ohrm

?~WV

MM

vrx 他

ENl LOW?-

vcc

C8

QC QD ONT LCPff

本次电子电路课程设计,老师简单讲解后给我们布置任务,要求独立完成课程设计任务。本着独立自主的原则,我在为期一周的的电子课程设计中学到的不单是简单的书本知识,还有处事、学习的态度。

在知识方面,我先是大概的学习了EWB使用,这是开始必备的基础。整个课程设计让我经历了一个

的软件使用,另一方面我学会到了怎样面对一个陌生的事物,也学会了该怎样去学习新的东西。面对新的事物不应该被其陌生面貌吓到,要敢于学习,善于发现,积极面对。这是我们该拥有的品质。当然与此同时,我还学习到了更多的数电知识,掌握了更多的数字电路知识,对课本有了新的认识,这对接下来的数电学习是有利的。

在本次课程设计中还有些许体会。有些东西分开来与整合起来是不同的。局部与总体是有区别的。结合课程设计具体一点说就是,也许你能很快弄懂每个单元电路,但要拼凑起来实现整体功能,此时却一片茫然,不知所措。日常生活又何尝不是呢?懂一点,知一面,似懂非懂。这时要坚持下去,拨开迷雾方能见到真面目。当然在这个过程坚持很重要,但方法不容忽视。好的方法有助于更好更快的认识新事物,看清全面貌。具体说,在本次课程设计中,我是从功能出发,构建总的原理框图,在得到总的原理框图,再是自下向上,自左向右进行电路设计的,最后纵观全局。

好的收获要总结,总结以后用于日后的生活学习指导。

七、整机原理图

图13

|R# ■- A MI M P

dJLi

电气与信息工程系课程设计评分表

指导教师签名:____________________

日期:__________________

注:①表中标*号项目是硬件制作或软件编程类课题必填内容;

②此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。

(最新版)基于51单片机汇编语言的数字钟课程设计报告含有闹钟万毕业论文

单片微型计算机课程设计报告 多功能电子数字钟 姓 名 学

教师 许伟敏 电气二班 林卫

目录 一:概述 (1) 二:设计基本原理简介 (2) 三:设计要求及说明 (3) 四:整体设计方案 (4) 系统硬件电路设计 4 系统软件总流程设计模块划分及分析5 6 五:单模块流程设计 (8) 各模块设计概述、流程图模块源程序集合及注释8 13 六:单模块软件测试 (23) 七:系统检测调试 (24) 硬件电路调试 软件部分烧写调试 八:系统优化及拓展 (26) 九:心得体会 (28)

单片微型计算机课程设计 一、概述 基于汇编语言的电子数字钟概述 课程设计题目:电子数字钟 应用知识简介: ● 51 单片机 单片机又称单片微控制器,它不是完成某一个逻辑功能 的芯片,而是把一个计算机系统集成到一个芯片上。作为嵌 入式系统控制核心的单片机具有其体积小、功能全、性价比高等诸多优点。51 系列单片机是国内目前应用最广泛的单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用,51 系列单片机的发展又进入了一个新的阶段。在今后很长一段时间内51 系列单片机仍将占据嵌入式系统产品的中低端市场。 ●汇编语言 汇编语言是一种面向机器的计算机低级编程语言,通常是为特定的计算机或系列计算机专门设计的。汇编语言保持了机器语言的优点,具有直接和简捷的特点,其代码具有效率高实时性强等优点。但是对于复杂的运算或大型程序,用汇编语言编写将非常耗时。汇编语言可以与高级语言配合使用,应用十分广泛。 ● ISP ISP(In-System Programming)在系统可编程, 是当今流行的单片机编程模式,指电路板上的空白元器 件可以编程写入最终用户代码,而不需要从电路板上取 下元器件。已经编程的器件也可以用ISP方式擦除或再 编程。本次课程设计便使用ISP方式,直接将编写好的 程序下载到连接好的单片机中进行调试。 选题 系统功能分析 硬件电路设计 整体流程设计 及模块划分 模块流程设计 模块编 码测试 系统合成调 试编译 下载调试(含硬件电路调试及软件烧写调试) 验收 完成总结报告课程设计流程图↑ 选题目的及设计思想简介: 课程设计是一次难得的对所学的知识进行实践的机会,我希望通过课程设计独立设计一个简单的系统从而达到强化课本知识并灵活运用的目的。电子数字钟是日常生活钟随处可见的简单系统。对电子数字钟的设计比较容易联系实际并进行拓展,在设计中我将力求尽可能跳出课本的样板,从现实生活中寻找设计原型和设计思路,争取有所突破。 如图所示便是我本次课程设计流程图,设计的整个过程运用自顶向下分析、自底向上实现的

电子技术课程设计多功能数字时钟范文

电子技术课程设计多功能数字时钟

电子技术课程设计 数字钟的设计 一、设计任务与要求 1.能直接显示“时”、“分”、“秒”十进制数字的石英数字 钟。 2.能够24小时制或12小时制。 3.具有校时功能。能够对小时和分单独校时,对分校时的时候, 停止分向小时进位。校时时钟源能够手动输入或借用电路中的时钟。 4.整点能自动报时,要求报时声响四低一高,最后一响为整点。 5.走时精度高于普通机械时钟(误差不超过1s/d)。 二、方案设计与认证 1、课题分析 数字时钟一般由6个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器构成。其原理框图如图1所示。

2、方案认证 (1)振荡器 振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。数字钟的精度,主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。 (2)分频器 振荡器产生的时基信号一般频率都很高,要使它变成能用来计时的“秒”信号,需由分频器来完成。分频器的级数和每级的分频次数要根据时基频率来定。例如,当前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后再选择合适的集成电路。 (3)计数器 数字钟的“秒”、“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路由二十四进制计数器构成。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们一般计数习惯。“时”计数也能够用两块十进制计数器实现,只是做成二十四进制。上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本设计选用的计数器全部采用二-十进制集成块,因而计数器的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共阳极的七段显示数码管。 (5)校时电路 在刚开机接通电源或计时出现误差时,都需要对时间进行校正。校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信号。 (6)整点报时电路 数字钟整点报时是最基本的功能之一。此电路要求每当“分”和

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

毕业设计论文-数字钟设计

数字钟设计 院系电子信息工程学院专业电子信息工程班级 1 姓名马梦珂

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 数字钟就是由电子电路构成的计时器,是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应该该有校时功能和报时,整体清零等附加功能。主电路系统由秒信号发生器,时、分、秒计时器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号发生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,发出一个“时脉冲”信号,该信号将被送到“时计数器”,“时计数器”采用24进制计时器,可实现一天24小时的累计, 本课题利用了单片机的数码管和定时器相关知识,采用AT89C51单片机子控制核心,结合LED数码管实现时分秒的显示。硬件电路设计主要包括中央处理单元电路,键盘扫描电路。软件程序则采用VC语言实现。本设计实现了显示时间、调整时间等功能,达到了设计的目的和要求。并在Proteus软件上进行了仿真和调试。 关键词:计时器,计数,译码,校时,数字时钟,单片机,仿真调试

目录 摘要 ............................................................................................................... I 目录 ............................................................................................................ III 1 引言 ............................................................................ 错误!未定义书签。 1.1 研究背景及意义 (1) 1.2 国内外研究现状 (1) 2 硬件设计 (1) 2.1 元件组成 (1) 2.2 电路组成...................................................................... 错误!未定义书签。 3 软件设计 (4) 3.1 电路原理图设计 (6) 3.2 源程序 (7) 4 系统调试与实验 (11) 5 总结 (12) 参考文献 (12)

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

基于单片机的电子钟设计方案毕业论文。。.doc

基于单片机的电子时钟设计 摘要 20 世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用 12MHz的晶振产生振荡脉冲,定时 器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字 钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各 个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产 品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着 CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发 展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方 法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

基于51单片机的多功能电子钟设计

基于51单片机的多功能电子钟设计 【摘要】数字电子时钟是人们日常生活中不可或缺的必需品。本文以STC89C52为核心控制芯片,DS12887为时钟芯片,DS18B20为温度传感器,通过液晶显示器LCD1602实时显示时间及温度,通过按键设置年月日和星期以及定时闹钟,定时闹钟时间到自动发出警报。本设计的+5V电源采用LM1117电压转换元件,将电源适配器转换得到的12V电压直接变成5V电压供系统使用。程序的下载则是通过普中科技公司自制的PZ-ISP软件完成。经过测试,系统可以正常完成预定的功能。 【关键词】电子时钟;单片机;DS12887;DS18B20;

Design of Multi-function Clock Based on 51 MCU 【Abstract】Digital electronic clock is an integral, necessary part of daily life.In this paper, STC89C52 chip is used as the core control chip, DS12887chip is used as the clock chip, DS18B20 chip is used as the temperature sensor and LCD1602 was used to diaplay time and temperature。You can set year, month and time alarm clock through the four buttons.When the real time reach to the time clock,the system will warn automatically. The +5V power of the system is supplied by LM1117 voltage conversion device. The 12V voltage get from power adapter was transformed directly into 5V voltage for the system. The download of the process is accomplished through the PZ-ISP software made by Puzhong technology company. After testing, the system can complete the scheduled function normally. 【key words】electronic clock;MCU;DS12887;DS18B20

多功能数字钟开题报告

毕业设计(论文)开题报告

1 选题的背景和意义 1.1 选题的背景 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。手表当然是一个好的选择,但是,什么时候到达所需要的时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。 1.2 国内外研究现状及发展趋势 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机具有体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。在城市的主要营业场所、车站、码头等公共场所使用lcd数字电子钟已经成为一种时尚。但目前市场上各式各样的lcd数字电子钟大多数用全硬件电路实现,电路结构复杂,功率损耗大等缺点。因此有必要对数字电子钟进行改进。

南京理工大学毕业论文模板

南京理工大学 毕业设计(论文)开题报告 学生姓名:学号: 专业: 设计(论文)题目: 指导教师: 年月日

毕业设计(论文)开题报告 1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写2000字左右的文献综述: 文献综述 时间对人们来说可说是越来越宝贵,在快节奏的生活中,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。 时钟的数字化给人们带来了极大的方便。其广泛用于个人家庭、车站、码头、办公室等公共场所,已成为人们日常生活中不可少的必需品。与传统机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,节省了电能。 在众多时钟设计可选方案中,可以利用中小规模集成电路设计,可以利用专用的时钟芯片设计,也可以利用单片机进行设计,各有特点。其中,单片机凭借其体积小、重量轻、抗干扰能力强,以及灵活性、可靠性好和其很高的性价比这些优点已经渗入到人们工作和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,前景广阔。而且数字钟又具备单片机最小系统的基本组成,对于我们了解单片机也有很大的帮助。 20世纪末,电子技术获得了飞速的发展,在其推动下,现在电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。随着现在社会的快速发展,国内外已有多种数字钟设计成果。其中使用单片机设计的数字钟功能强大,界面友好,更好的满足了人们对它的智能化要求。 利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUSII开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。 (《基于VHDL的多功能数字钟的设计》樊永宁等工矿自动化 2006年 03期) 采用低成本数字集成电路LM8569及配套的LED(发光二极管)显示器组成的家用数字钟,采用双电源供电,具有电路简单、价格低廉、精确度高、性能可靠、功能多以及适用性强等特点。(《用LM8569制作数字钟》樊永宁等工矿自动化 2006年03期) 以AT89C51 为中心控制单元,采用汇编语言编程,设计一个用6位数码管显示时、分、秒的时钟。该时钟可实现时间显示、时间调整、闹钟设置、整点报时和省电等多种功能,具有制作简单、调整方便、稳定性好、便于扩展等特点。经实践制作、调试,证明设计可靠、方案可行。 (《基于AT89C2051的多功能时钟设计》刘文霞等《现代电子技术》2008 年第18 期总第281期)

基于51单片机多功能电子时钟设计论文报告-毕设论文

单片机课程设计报告 多功能电子数字钟 姓名: 学号: 班级: 指导教师:

目录 一课程设计题目-------------------------------- 3 二电路设计--------------------------------------- 4 三程序总体设计思路概述------------------- 5 四各模块程序设计及流程图---------------- 6 五程序及程序说明见附录------------------- ** 六课程设计心得及体会---------------------- 11 七参考资料--------------------------------------- 12

一题目及要求 本次单片机课程设计在Proteus软件仿真平台下实现,完成电路设计连接,编程、调试,仿真出实验结果。具体要如下:用8051单片机设计扩展6位数码管的静态或动态显示电路,再连接几个按键和一个蜂鸣器报警电路,设计出一个多功能电子钟,实现以下功能: (1)走时(能实现时分秒,年月日的计时) (2)显示(分屏切换显示时分秒和年月日,修改时能定位闪 烁显示) (3)校时(能用按键修改和校准时钟) (4)定时报警(能定点报时) 本次课程设计要求每个学生使用Proteus仿真软件独立设计制作出电路图、完成程序设计和系统仿真调试,验收时能操作演示。最后验收检查 结果,评定成绩分为: (1)完成“走时+显示+秒闪”功能----及格 (2)完成“校时修改”功能----中等 (3)完成“校时修改位闪”----良好 (4)完成“定点报警”功能,且使用资源少----优秀

多功能数字钟数字逻辑系统设计

题目:多功能数字钟 院校:华侨大学厦门工学院 专业:09级电气工程及自动化5班 学生姓名:黄宇鸿 学号:0902105013 指导老师:解源老师 课题时间:2011/5/14~2011/6/3 2011年5月31日

设计任务书 设计目的: 使我们在学习完《电子技术基础》上,更加牢固深化和巩固数字逻辑电路的基本概念;系统地掌握逻辑电路的分析和设计方法;熟悉一些典型的、有代表性的线路及其应用特性;通过此次亲自动手设计课程实验,培养设计与调试数字电路的能力。为学好后续课作好准备。 设计内容: 1、设计具有“分”、“时”十进制数字显示的电子钟。 2、小时的计时为24进制,分(秒)的计时为60进制。 3、具有时间校对功能,分别对“分”、“时”进行校对。 4、采用74系列中小规模集成器件。 所需器件:(个人用) 1、集成芯片:74LS48(4片)、74LS160(4片)、74LS00(2片)、74LS04(1片)、NE555(1片)、共阴七段数码管(4个)。 2、元器件:电容:电解电容(10μF 1个)、瓷片电容(10nF 3个)。 3、电阻:3.3kΩ(2个)、15kΩ(1个)、68kΩ(1个)。 4、其他器件:面包板(4块)、导线(红、白线若干)、LED红色发光二极管(1个)。 5、制作工具:镊子,钳子,万用表,试验用可调直流电源。 设计正文 一、系统概述: 1.1设计背景与意义: 随着人类的进步科学技术的发展,时间观也越来越被人们重视,而能够准确的知道时间能够提高人们的工作效率,能更好的在规定的时间内完成所规定的工作。因此能有随时随地的知道当前时间是非常重要的。随着科学技术的发展,单片机技术的不断完善,使得数字钟得设计变得更加灵便、更加简单、功能更加完善、计时更加准确。 1.2总体方案设计: 根据系统设计的要求和设计思路,确定该系统的设计结构。如下图。硬件电路主要由74系列集成块、集成定时器NE555、共阴七段数码管显示、LED二

基于单片机的数字钟的设计毕业论文

基于单片机的数字钟的设计毕业论文

永城职业学院 毕业论文 论文题目:基于单片机的数字钟的设计 专业:机电一体化 班级:机电134 学号:2013124025 学生姓名:孙洋洋 指导教师:李梦瑶 2015年10月 16 日

目录 1、设计总体方案 (3) 1.1电子钟的工作原理 (3) 2、主要器件介绍及功能实现 (4) 2.1 AT89C52单片机 (4) 2.2 1602LCD液晶显示 (7) 2.3 计时功能的实现 (9) 2.3.1定时方法 (9) 2.3.2 定时/计数器的定时和计数功能 (10) 3、硬件电路 (10) 3.1上电复位电路 (10) 3.2时钟系统 (11) 3.3按键电路 (11) 3.4电子时钟原理图 (12) 3.5 硬件调试 (12) 4、软件设计 (13) 4.1 程序流程图 (13) 4.1.1编辑软件(Keil uVision2) (14) 4.2生成代码文件 (14) 4.3 软件调试 (15) 4.3.1程序的仿真Proteue ISIS软件: (15) 4.3.2 具体步骤: (16) 5.结论 (17) 6.参考文献 (18)

摘要 该文主要论述如何使用89S51型号的单片机来设计一个数字电子时钟。 该设计是利用单片机原理,以AT89S51芯片为主要控制器。通过用Keil C51软件编程仿真,再通过protues软件进行硬件仿真,设计制作一个多功能数字电子时钟的硬件电路。其中通过单片机扩展的1602LCD显示器用来显示秒、分、时计数单元中的值。整个设计过程包括两大部分:软件部分和硬件部分。以单片机AT89S51芯片为核心,加上一定的外围电路、1602LCD显示器和键盘控制器组成。 该电子时钟系统主要由时钟模块、液晶显示模块以及键盘控制模块。液晶显示模块能够准确显示时间(显示格式为时:分:秒(24小时制)),键盘控制模块可方便进行时间调整,时钟模块主要控制时间的显示由二十四进制电路与六十进制电路组成。电路的设计以硬件和软件为指导思想,通过软件编程来实现模拟电路的设计。 用单片机AT89S51为主要功能模块,采用1602LCD输出显示时间,用按钮做开关,软件计时、调试,辅助必要的电路,实现高效、准确的数字电子时钟系统。该数字电子时钟具有电路简单明了,系统稳定性高等优势,,成本低,维护方便、调试简便、具有广泛的市场前景。 关键词:单片机、AT89S5芯片、1602LCD、动态扫描

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

电子电路课程设计报告-多功能数字钟毕业论文

湖南工程学院 课程设计 课程名称_________ 数字电路技术__________________ 课题名称_________ 多功能数字钟__________________ 专业_____________ 班级_____________________________________ 学号_________________________________________ 姓名____________________________________ 指导教师_________________________________ 2011 年10 月21 日

目 一、设计要求内容与要求 二、设计总体思路,基本原理和框图 三、单元电路设计 四、仿真结果 五、实验调试 六、总结与体会 七、整机原理图

二、设计总体思路,基本原理和框图 本次课程设计依据数字钟应具有时、校时两大主要功能,可知该电路必须有如下几部分组成,信号发生器、计数器、译码器、显示器。然而其中信号发生器是秒、分、时所共有的,秒、分、时又分别拥有自己的计数器、译码器和显示器。其中秒、分、时相互之间的关系又各不相同,从秒到分与从分到时都是六十进一,而小时则是逢24则归零,故需分开设计。秒、分、时之间还涉及到进位的设计。 基本原理:信号发生器产生频率为1HZ的信号送往秒计时器,开始计时,通过进位一次让分、时计时器计时,再将其分别译码,最后显示出来,当其中还要有附加电路校时。 数字时钟原理框图如下

单元电路设计 1■信号发生器 在本次课程设计中采用了有 CB555定时器设计的一个多振荡器,当然也可以采用石 英晶体多振荡器。 多振荡器是一种自激振荡器,在接通电源以后,不需要外加触发信号,便能自动 产生就行脉冲。由CB555的特性参数,可知当电源5V 时,在100没mA 的输出 电流下输出电压为3.3V ,故取Vcc 为5V 可以满足脉冲幅度的需求。当参数如下 时,周期T=( R 1+2R 2)Cln2=1。这时刚好满足一秒钟的信号要求。 [R]/4 k Ohm ffiO% 47 k Ohm -AAAr- WuF 555 GNC vcc TRI CIS QUT 7HR REE CON 0.01 uF GM ED- VCC 图2 555芯片 47 k Ohm r-AA/V < ri TR I OLII Ff ELS DIS II R

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

相关文档
最新文档