74LS160四路抢答器设计

74LS160四路抢答器设计
74LS160四路抢答器设计

江汉大学文理学院课程设计报告课程设计题目多路抢答器

部(系)信息技术学部

专业电子信息工程2班

姓名

学号

指导教师路银聚

设计日期2014年 6月10日

目录

一、设计题目 (3)

二、设计目的 (3)

三、主要内容及要求 (3)

四、基本原理及参考框图 (3)

五、设计方案 (4)

六、电路工作原理 (4)

七、仿真调试与分析 (10)

八、结论与心得 (11)

九、参考元件 (12)

一、设计题目

多路抢答器(74161/74160实现)

二、设计目的

在进行智力竞赛时,需要反映及时准确、显示清楚方便的定时抢答电路。通常多组参加竞赛,所以定时抢答设备应该包括一个总控制和多个具有显示及抢答设置的终端。

三、主要内容及要求

(1)设计一个智力竞赛抢答器,可同时提供4名选手参加比赛,按钮的编号为1、2、3、4。

(2)给主持人设置一个控制开关,用来控制系统的清零。

(3)抢答器具有数据锁存和显示的功能。主持人将系统复位后,参赛者按抢答开关,当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。

(4)设置计分电路。具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分

(5)定时功能。系统设置60秒定时时间,当有参赛者抢答成功后,定时器开始减法计数并显示,参赛选手在设定时间内回答成功,由主持人将定时电路复位;如果定时时间到,参赛者尚未回答成功,则发出报警信号,由主持人将定时电路复位。

四、基本原理及参考框图

抢答按钮

优先

编码电路

锁存器译码电路

序号

显示电路

主持人

控制开关

控制电路计时电路报警电路扬声器

计分电路

得分

显示电路

图1 总体方案原理框图

如图所示,多路抢答器主要由抢答电路和控制电路组成。其工作过程为:接通电源后,主持人按下复位键,使抢答器处于禁止工作状态,按下开始键后,抢答器处于工作状态,当参赛者按下抢答键后,优先编码电路对抢答者的序号进行编码,由锁存器进行锁存,一码显示电路显示序号,控制电路使报警电路发出短暂声响,对输入编码电路进行封锁,禁止其他选手进行抢答。根据抢答者在3分钟定时时间内回答的情况,主持人可以对选手得分进行加/减控制,以上过程结束后,主持人可以通过控制开关,对相应的电路进行复位,以便进行下一轮抢答。

五、设计方案

如图所示为设计总体方框图。

设计方案:以74LS175+74LS48为主,构成抢答电路模块;以74LS192+数码管+蜂鸣器构成计时模块;以74LS192+74LS160为主构成计分模块。

其工作内容是主持人开关是74LS175的清零控制端,当主持人打下开关时整个电路开始工作,有一个抢答信号产生时74LS175被封锁,该芯片得不到时钟信号,处于不工作状态,不允许其他信号的输入有效,相对于方案二没有优先级,不会产生公平性的问题。在74LS175有了一个输出信号后,通过或门的组合产生一个编码给74LS48让其进行译码输出译码显示台号。例如编码为XXX1的有一号和三号,所以通过或门组合可以实现显示功能。优点是便于扩展,容易添加新的电路。

六、电路工作原理

1、电路完整工作过程描述(总体工作原理)

①抢答器鉴别模块:

抢答器鉴

别模块 抢答器计时 模块 计分模块

图2 抢答器鉴别模块实现

抢答器模块=74LS175+74LS48+门电路+七段数码管

该电路完成三个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号;二是禁止其他选手按键操作无效;三是同时译码显示电路显示编号。74LS175工作过程:主持人开关置于"清除"端时,芯片开始工作,A、B、C、D四个开关开始抢答,根据下图的真值表,可以知道当有一个开关打下时,通过门电路的控制封锁时钟禁止其他开关的输入,使其他输入无效。

74LS175

图3 74LS175逻辑图

输入输出

R D CP 1D 2D 3D 4D 1Q 2Q 3Q 4Q L ×××××L L L L

H ↑1D 2D 3D 4D 1D 2D 3D 4D

H H ××××保持

H L ××××保持

图4 74LS175的功能真值表

从表可见:

① CLR是清零端,且低电平有效。

② CLK是时钟脉冲,且下降沿触发。

上图中的74ALS175N为一四路的锁存器,当CLK引脚输入上升沿时,1D-4D被锁存到输出端(1Q-4Q)。在CLK其他状态时,输出与输入无关

74LS48

A0-A3 译码地址输入端

BI'/RBO'消隐输入端(低电平有效)/脉冲消隐输出(低电平有效)

LT' 等灯测试输入端(低电平有效)

RBI' 脉冲消隐输入端(低电平有效)

Ya-Yg 输出端

图5 74LS48逻辑图

②抢答器计时模块:

图6 抢答器计时模块实现

抢答器计时模块=74LS192+数码管+蜂鸣器

定时电路选用十进制同步加减计数器74LS192进行设计。74LS192具有下述功能:(1).异步清零:CR=1,Q3Q2Q1Q0=0000

(2).异步置数:CR=0,LD=0,Q3Q2Q1Q0=D3D2D1D0

(3).保持: CR=0,LD=1,CPU=CPD=1,Q3Q2Q1Q0保持原态

(4).加计数:CR=0, LD=1,CPU=CP,CPD=1,Q3Q2Q1Q0按加法规律计数

(5).减计数:CR=0, LD=1,CPU=1,CPD= CP,Q3Q2Q1Q0按减法规律计数

74LS192是双时钟方式的十进制可逆计数器。

CPU为加计数时钟输入端,CPD为减计数时钟输入端。

LD为预置输入控制端,异步预置。

CR为复位输入端,高电平有效,异步清除。

CO为进位输出:1001状态后负脉冲输出

BO为借位输出:0000状态后负脉冲输出。

图7 74LS192逻辑图

电键是控制清零端的开关,开关P是置数端的开关,P打开置数端指数,P关闭置数端不工作,并给予时钟信号。电路工作,剩余20秒是给予提醒信号。

③抢答器记分模块:

图8 抢答器计分模块实现

计分器模块=74LS192+74LS160+控制开关+门电路

该电路有74LS160、74LS192和门电路构成,74LS160构成的是分值电路,74LS192是加减电路。由开关控制输入信号传向UP或DOWN,实现加减分。

对一分键电路,在U4上,当从0000变化到0011时,QA与QB通过与非门接到EP,RD 则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变, QB输出的则是一个脉冲了。

对三分键电路,在U6上,当从0000变化到0101时,QA与QC通过与非门接到EP,RD 则通过一个开关来控制,当到达0011的时候,经过QA与QC的与非门出来的为零,使它保持0101的状态不变, QA输出的则是三个脉冲了。

通过双向开关切换电路为加法电路和减法电路,将异或门U7接至U1、U2、U3的UP端,为加法部分,将异或门U8接至U1、U2、U3的DOWN端,为减法部分。从而控制比赛分数的加减。开关J4控制U1、U2、U3的CLR端接通电源,当开关闭合是,分数置零,开始重新计数。

74LS160:是同步十进制计数器,依靠CP信号来工作,EP、ET高电平是工作,计分的单元电路中EP接数据输出端的与非输出,只要令清零端的数据输出位数与EP端的位数相减等于你要的位数即可实现加减相应的分数的功能。

CLK CLR‵LOAD‵ENP ENT 工作状态

×0 ×××置零

↑ 1 0 ××预置数

× 1 1 0 1 保持

× 1 1 ×0 保持(但C=0)

↑ 1 1 1 1 计数

图9 74LS160功能真值表

例如:下图EP端是0011,而CLR端是0100,两端相减是0001,即十进制的1,所以实现加减一分的功能。

图10 74LS160N逻辑图

2、总原理图

图11 实验总电路图

七、仿真调试与分析

本设计基本符合课题的要求,可以实现抢答、加减分控制、计时功能的实现,主持人打下控制开关电路开始工作,抢答结束显示台号,接着实现计时功能。完成作答后,加减分功能由控制端实现。虽然这个设计可以完成要求,但是如果同时按下开关,指示灯同时亮时,显示台号有出错的可能行存在。

总的来说,抢答电路部分做的不是十分的理想,存在改善的地方,若以方案一的电路实现的话可以除去同时性的问题,但是优先级带来的问题又是需要解决的。

七、结论与心得

经过将近三周的奋战,我们的课程设计总算圆满结束,不回想设计的“岁月”,现在仍记忆犹新!

首先,老师一发课题,我们就商量着选题的事情,最初我们有两种想法:第

一种是随便选个简单的设计课题,做完草草了事;第二种是选个有实际应用价值的课题,认真的做好设计,展现我们最好的作品。因为要准备英语四级,所以才萌发了以上两种想法。后来,经过几次角逐,第二种想法战胜了第一种想法,结果我们果断的选择了四人抢答器这一设计课题。因为既要为四级争取更多的复习时间,又要做好课程设计,所以我们不得不快马加鞭,过关斩将,速战速决!因为我们坚信,凡事都要做好足够的准备,方能百战百胜!

其次,从搜集资料到熟悉设计原理,再从开始使用仿真软件到完成整个设计电路图的整个过程,真可谓是夜漫漫其熙远兮,吾将上下而求索!汗与泪交织在一起,不知何是汗,何是泪,统一战线!说到仿真软件,我们不是很会用,所以仿真软件Multisim10.0,在整个设计过程,最耗费时间的是在调试电路上,,在这种关键时刻,最需要的是冷静的分析,结构和思路的优化,更加必不可少的是团队合作精神,往往很多电路故障的排除思路和解决方法都是在不断的讨论中和尝试下萌芽的!

抢答电路模块经过两次方案的修改,因为如果采用优先编码器,虽然可以保证每一次都只有一个人先抢答到,但是如果当有两个人同时按下开关,那么优先权最高的那个先抢到,这不是很符合实际情况!如果采用四D触发器,最先因为忽略封锁时钟之前四输入与非门与一个反相器之间有个缓冲时间,如果两个人按下开关的间隔很短,发生在这个缓冲时间之前,那么两个人的二极管都会亮,电路似乎看起来没封锁时钟!最后几费周折,知道了这个隐藏的“忽略”,才恍然大悟!在对加减分电路模块的设计时,我毫无思路,队友也“无药可施”,但通过在网上对加减分电路的搜索,找到了一些相关的设计,经过一番努力,算是初步的了解这一部分电路的设计,但考虑到实际的抢答器应用,每个选手都应该有个初始分值,所以最初在实现预置数功能上竟然直接把加减分电路与抢答电路连在一起,结果造成电路过大,软件出问题,实现不了预置数或出错,分开各自接线,各个电路模块正常工作。对于倒计时电路模块,由于扬声器发不出声音,经过老师的指导和同意,提醒功能用灯泡代替扬声器!

最后,通过整个课程设计,我不仅学会了仿真软件的使用,而且学以致用的设计出了四人抢答器,还深深体会到团队合作精神的重要性!虽然课程设计结束了,但学习仍将继续┅┅

八、元件清单

元件序号型号主要参数数量备注

VCC VCC

0 GROUND

V1,V3 AC_POWER 2

V2,V4 DC_POWER 2

V5,V6,V7,V8,V9,V10 SIGNAL_VOLTAG

E_SOURCE -S 6 CLOC

K_VO

LTAG

E

RESISTOR 200Ohm 9

R1,R2,R7,R11,R12,R13,R14,R15,

R16

R3,R4,R5,R6,R8,R9,R10 RESISTOR 560Ohm 7

R17,R18,R19,R20,R21,R22,R23,

RESISTOR 100Ohm 8

R24

J1 DIPSW1 1 SWIT

CH J7 PB_DPST 1

J9,J10,J11,J12,J13,J14,J15,J16 SPDT 8

DIPSW6 11

J5A,J6A,J8A,J17A,J18A,J19A,J2

0A,J21A,J22A,J23A,J24A

LED1 LED_red 1 5V LED2 LED_green 1 5V LED3 LED_orange 1 5V LED4 LED_blue 1 5V U1 74LS175D 1

U3 74LS48D 1

74192N 8

U32,U33,U36,U37,U39,U40,U43,

U44,

U58,U60,U60,U64,U66,U68,U70,

74HC160N 8 4V U72,

1

U4 SEVEN_SEG_COM

_K_GREEN

HEX_DISPLAY

U2A,U12A , 74HC32D_2V 2

U16,U17 74LS192D 2

U11A, 74HC20D_2V 1

2

U13,U14 DCD_HEX_DIG_Y

ELLOW

U18,U19,U20,U21,U22,U23,U24,

DCD_HEX 6

U25,U26,U27,U28,U29

U7,U9 BUZZER 2

U10A 74S03D 1

U61A,U59A,U69A,U67A,U71A,

4011BD_5V 6

U73A

U46A,U47A,U48A,U49A 4071BT_5V 4

U53A.U52A,U51A,U54A,U55A 4009BCL_5V 5

U63A,U65A 4011BD_5V 2

4030BD_5V 8 U31A,U30A,U35A,U34A,

U45A,U38A ,U42A,U41A

U50A,U56A ,U57A 4009BCL_5V 3 U6A 4011BP_5V 1 U5A 4077BT_5V 1 U15B,U8B 74S05N 2

1 X1

PROBE_DIG_RED

指导教师评语:

建议成绩:指导老师:年月日

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

基于PLC四路抢答器的设计

四路抢答器的设计 (完整规范) 专业名称:应用电子技术学生姓名: 导师姓名:### 职称 机电工程学院

2012年月 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

数字四路抢答器设计方案

数字四路抢答器设计方案 一、设计任务 设计并制作一个四路抢答器。 二、设计要求 1.抢答器供4人比赛用,分别有A,B,C,D表示。 2.当按下清零开关时电路复位,这时可以进行下一轮比赛。 3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,在LCD数码管上显示, 且蜂呜器发出声音。 注:选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 三、设计方案与总体设计 1.本设计主要由COMS系列数字集成电路CD4511、CD4001、NE555等组成。 2.其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 四、单元电路设计 1.开关、编码电路 电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上(只有一个为高电平的可省略二极管),其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码(BCD码)。 2.编码表 (1)这部分电路要求将编码电路送入8421码,译为十进制数(对应各个抢答者的编号)并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD-十进制译码/驱动器,并带有锁存埠。其引脚排列图如图所示:

CD4511引脚排列图: (2)显示电路如下: 3.控制电路 (1)这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其它选手按键操作无效;三是防止提前按键。(2)控制电路图

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四路抢答器(完整版)

华北科技学院 课程设计说明书 班级:电子B071 :郭亚立 设计题目:四路智能抢答器 设计时间: 2010.1.9 至 2010.1.22 学号: 8 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

四路抢答器设计报告 目录 一、设计任务和要求:...................................................... - 3 - 1.1设计任务 (3) 1.2设计要求 (3) 二、设计方案的选择与论证.................................................. - 4 - 2.1方案的选择、论证 (4) 2.2设计总方案 (4) 三、电路设计计算与分析..................................................... - 5 - 3.1抢答器控制电路设计 (5) 3.1.1 优先编码器 74LS148................................................ - 7 - 3.1.2 锁存器74LS279.................................................... - 9 - 3.1.3 74LS121单稳态触发器:.......................................... - 10 - 3.2定时时间电路的设计 (10) 3.2.1 计数器74LS192................................................... - 12 - 3.3控制电路和报警电路 (13)

毕业设计:基于PLC四路抢答器的设计

渤海船舶职业学院 毕业设计(论文)题目:基于PLC四路抢答器的设计 系:机电工程系专业:机电一体化技术姓名:李红远指导教师:刘凯 班级:08G451 评阅教师:刘凯 学号:24 完成日期:2011.5.22

毕业设计说明书(论文)中文摘要 题目:基于PLC四路抢答器的设计 摘要:近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器,PLC.

四路抢答器课程设计

课程设计说明书 2015-2016 学年第 1 学期 学院: 专业: 学生姓名:学号:31 课程设计题目:4路抢答器(含直流电源)制作指导教师: 日期:2015-12-18

目录 1、课程设计目的 (3) 2、课程设计内容和要求 2.1、设计内容 (3) 2.2、设计要求 (3) 3、设计方案 (3) 3.1.1、设计电路功能的分析 (3) 3.1.2、方案论证 (4) 3.2、主要芯片介绍 (4) 3.3、抢答电路设计 (8) 3.4、显示电路设计 (9) 3.5、555震荡电路设计 (9) 3.6、电源电路 (10) 3.7、时钟电路 (10) 3.7、违规控制 (11) 3.9、整体电路 (11) 4、课程设计总结 (12) 5、参考文献 (12)

1 课程设计的目的 1,了解抢答器电路设计的基本实现原理; 2,掌握时序逻辑电路的逻辑功能和使用方法; 3、Protues仿真。 2 课程设计的设计和要求 2.1 设计内容 1,查阅所用器件技术资料,详细说明设计的抢答器电路工作流程; 2,抢答器电路采用与编码器、译码器、定时器、电阻、电容、与或非门等电子器件构成,参加组数为四组。 3,电路可以实现由选手按键先后判断谁获得答题权;抢答成功时点亮相应的指示灯,且抢答成功选手号数在数码管上显示。 4,主持人没有按抢答开始按键时,若有人抢答,抢答无效,且该选手直接取消抢答资格; 5, 抢答开始后有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。 2.2 设计要求 基本要求: (1) 在抢答开始后,若有参赛者按下抢答按钮,能准确判断出最先按下抢答按钮的参赛选手,并把其编号显示出来。 (2) 成功抢答后,若再有人按下抢答按钮,抢答状态不发生改变。 (3)具有复位功能,按下复位按钮,可进入下一次抢答准备阶段。 (4)要完成作品的仿真演示(用proteus仿真软件实现)和实物制作(万能板上焊接电路),且作品设计中只能用编码器、译码器、定时器、电阻、电容、与或非门等基本器件或芯片,不可以用单片机或PLC等控制器。 (5)作品工作电压只提供交流220V电源,作品中所用其他电压由交流220V 电源进行转换供给,电源转换电路须自行设计制作。 发挥部分: (1)抢答开始后要有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。 (2)若抢答者违规,能实现用指示灯记录违规情况,达到一定违规次数后有相应处理措施。 (3)在实现上述功能外,可自行设计增加具有创新特色的抢答器附加功能。 3 方案的设计 3.1.1设计电路功能的分析 通过任务书可知该电路的功能为:1,主持人还没说开始抢答,选手不能抢答,如果有选手在主持人还没说开始抢答时抢答会被视为违规操作,违规者直接取消抢答资格;2,主持人说开始抢答时,选手开始抢答,抢答开始后有20S抢答倒计时;3,抢答时,分辨出选手按键的先后并锁存优先抢答器的编号,使非优先者抢答无效;4,抢答成功的人对应的发光二级管亮并数码管显示对应的号数;5,抢答时间有60秒,60秒后自动复位,若60秒内回答完,可以手动复位。

基于fpga的四路抢答器课程设计报告

一、课题设计的基础和实验条件 1.工作基础 (1)数字电路,模拟电路的学习;对所需使用的芯片管脚及 功能的了解;掌握了基本的数字电路设计流程。 (2)学会使用MAX+PLUS 软件设计数字电路; 了解EDA实验开发系统。 2.实验条件 (1)提供有目标芯片:FPGA-型号EP7128SLC84-15的实验开发系统、数码显示器、二极管、三极管、钮子开关; (2)电路设计器件: AND4、NOT、D触发器等 二、设计目标 1. 4人抢答器(四名选手分别为:R1,R2,R3,R4); 2. 主持人启动及复位开关HT; 3. 七段显示码显示选手的编号; 4. 抢答器具有“互锁”功能; 三设计电路图及仿真 该设计属于较为复杂的中小规模数字系统设计,按照系统的功能要求和自顶向下的层次化设计思想,该抢答器可以分为三个模块,他们分别为:抢答器控制模块——IN,该模块用于控制选手及主持人的动作;编码模块——qiwei,用于将选手的编号编码以便用数码管显示输出; (1)抢答器控制模块IN的设计: 该模块在任意一位选手首先按下抢答键后,其输出高电平给D锁存器,并将输出结果送至编码器qiwei, 该模块的主持人按键HOST按钮可以实现系统的复位。其原理图为:

选手的输出信号发出之后,需要把输出转换为数字,故需要加一个七位译码器。(2)七位译码器的设计: 通过编程定义生成了一个七位译码器: 生成此七位译码器的程序如下:

(3)生成完整设计图: 两个模块进行连接即得到最终的实验电路图: (4)设计图的仿真: 对设计的电路进行仿真得到仿真图如下:

从仿真结果可以看出符合功能要求。 (5)连接引脚图: 根据设计图选择适当的引脚连接得到下图的引脚图

四路抢答器设计说明

EDA课程设计报告 题目:四路抢答器 学院:机械与电气工程学院 专业:电气工程及其自动化 班级: : 学号: 指导教 师: 教师职 称: 2018 年 1 月 5 日

机械与电气工程学院EDA课程设计任务书 专业:电气工程及其自动化年级:B电气工程15-2 :路志文学号:1504215127

目录 四路抢答器 (1) 摘要 (1) Abstract (2) 1 设计目的 (3) 2 设计要求 (3) 3设计任务 (3) 4设计方案 (3) 4.1硬件设计 (3) 4.1.1四路抢答器按键控制电路 (3) 4.1.2数码管显示电路 (4) 4.1.3外部时钟脉冲电源电路 (4) 4.1.4四位电路抢答器 (5) 4.1.5PCB设计 (6) 4.2软件设计 (6) 4.2.1软件仿真 (6) 4.2.1.1单片机外部脉冲电路 (7) 4.2.1.2选手抢答开关电路 (7) 4.2.1.3数码管电路 (8) 4.2.1.4加法记分电路 (8) 4.2.1.5减法记分电路 (9) 4.2.1.6延时报警电路 (9) 4.2.2软件程序设计 (10) 4.2.2.1选手抢答按键程序设计 (10) 4.2.2.2按键加减法记分器程序设计 (10) 4.2.2.3延时程序设计 (11) 4.2.2.4清零程序设计 (11) 4.2.2.5数码管设计 (12) 4.2.2.6定时器程序设计 (12) 总结 (13) 参考文献 (14) 附录 (15)

. . . 四路抢答器 路志文 摘要 随着我国经济和文化事业的发展有很多竞争场合需要有快速公正的抢答器. 该课题设计的是一款多功能四路数字抢答器,它具有优先抢答、限时抢答、铃音提示、数字显示、系统报警等功能。主要由抢答电路、定时电路、报警电路、时序电路组成。其中抢答电路主要是分辨出选手的按键顺序,锁存优先抢答者的编号,供译码显示电路使用,并封锁输入电路,禁止其他选手抢答;定时电路主要是限定抢答时间,禁止选手超时抢答,抢答有效时间可以由问题的难易来设定。确保了比赛的公平性,更便于选手操作,实现快速无误的抢答。 关键词:四路抢答器计时报警

数字电子课程设计_4路抢答器课程设计报告

四人智力竞赛抢答器课程设计报告 一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0

四路抢答器设计方案

设计方案 设计题目:四路抢答器设计与制作 院系航空电子电气工程学院 专业航空电子信息 班级航电1301班 学号201300021047 姓名陈剑 指导老师李雪 二〇一五年10月22日

一、总体设计思路 (一)、设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3和四个发光二极管表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在发光二极管和数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行增计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 5、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6、如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 (二)、四路抢答器总体方框图 如下图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 总体设计框图

74LS160四路抢答器设计

江汉大学文理学院课程设计报告课程设计题目多路抢答器 部(系)信息技术学部 专业电子信息工程2班 姓名 学号 指导教师路银聚 设计日期2014年 6月10日

目录 一、设计题目 (3) 二、设计目的 (3) 三、主要内容及要求 (3) 四、基本原理及参考框图 (3) 五、设计方案 (4) 六、电路工作原理 (4) 七、仿真调试与分析 (10) 八、结论与心得 (11) 九、参考元件 (12)

一、设计题目 多路抢答器(74161/74160实现) 二、设计目的 在进行智力竞赛时,需要反映及时准确、显示清楚方便的定时抢答电路。通常多组参加竞赛,所以定时抢答设备应该包括一个总控制和多个具有显示及抢答设置的终端。 三、主要内容及要求 (1)设计一个智力竞赛抢答器,可同时提供4名选手参加比赛,按钮的编号为1、2、3、4。 (2)给主持人设置一个控制开关,用来控制系统的清零。 (3)抢答器具有数据锁存和显示的功能。主持人将系统复位后,参赛者按抢答开关,当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。 (4)设置计分电路。具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分 (5)定时功能。系统设置60秒定时时间,当有参赛者抢答成功后,定时器开始减法计数并显示,参赛选手在设定时间内回答成功,由主持人将定时电路复位;如果定时时间到,参赛者尚未回答成功,则发出报警信号,由主持人将定时电路复位。 四、基本原理及参考框图 抢答按钮 优先 编码电路 锁存器译码电路 序号 显示电路 主持人 控制开关 控制电路计时电路报警电路扬声器 计分电路 得分 显示电路 图1 总体方案原理框图

四路抢答器设计实验报告

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调 试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。 3、抢答器开始时数码管显示序号0,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。,并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间内,选手可以抢答,这时定时器开始工作,显示器上显示选 手的号码和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上始终显示0。

三、元件清单: 器件型号数量??器件型号数量双JK触发器74LS112 2 四/二输入与门74LS32 1 集成单稳态触发器74LS121 1 四/二输入与非门74LS00 1 译码器74LS48 1 ?电阻10K 4 十进制计数器74LS160 2 ?电阻47K 2 定时器555 1 ?电阻100K 1 双四输入与非门74LS20 1 ?电阻330欧11 四/二输入与非门74LS08 1 ?电容22 1 反向器74LS04 1 ?电容10 1 发光二极管LED 4 ?电容0.01 1 四、电路框图如下: 1)智能抢答器总体方框图如下图所示为。其工作原理为:接通电源后,主

相关文档
最新文档