四路抢答器设计

四路抢答器设计
四路抢答器设计

EDA课程设计报告

题目:四路抢答器

学院:机械与电气工程学院

专业:电气工程及其自动化

班级:

姓名:

学号:

指导教

师:

教师职

称:

2018 年 1 月 5 日

机械与电气工程学院EDA课程设计任务书

专业:电气工程及其自动化年级:B电气工程15-2 姓名:路志文学号:1504215127

目录

四路抢答器 (1)

摘要 (1)

Abstract (2)

1 设计目的 (3)

2 设计要求 (3)

3设计任务 (3)

4设计方案 (3)

4.1硬件设计 (3)

4.1.1四路抢答器按键控制电路 (3)

4.1.2数码管显示电路 (4)

4.1.3外部时钟脉冲电源电路 (4)

4.1.4四位电路抢答器 (5)

4.1.5PCB设计 (6)

4.2软件设计 (6)

4.2.1软件仿真 (6)

4.2.1.1单片机外部脉冲电路 (7)

4.2.1.2选手抢答开关电路 (7)

4.2.1.3数码管电路 (8)

4.2.1.4加法记分电路 (8)

4.2.1.5减法记分电路 (9)

4.2.1.6延时报警电路 (9)

4.2.2软件程序设计 (10)

4.2.2.1选手抢答按键程序设计 (10)

4.2.2.2按键加减法记分器程序设计 (10)

4.2.2.3延时程序设计 (11)

4.2.2.4清零程序设计 (11)

4.2.2.5数码管设计 (12)

4.2.2.6定时器程序设计 (12)

总结 (13)

参考文献 (14)

附录 (15)

四路抢答器

路志文

摘要

随着我国经济和文化事业的发展有很多竞争场合需要有快速公正的抢答器.

该课题设计的是一款多功能四路数字抢答器,它具有优先抢答、限时抢答、铃音提示、数字显示、系统报警等功能。主要由抢答电路、定时电路、报警电路、时序电路组成。其中抢答电路主要是分辨出选手的按键顺序,锁存优先抢答者的编号,供译码显示电路使用,并封锁输入电路,禁止其他选手抢答;定时电路主要是限定抢答时间,禁止选手超时抢答,抢答有效时间可以由问题的难易来设定。确保了比赛的公平性,更便于选手操作,实现快速无误的抢答。

关键词:四路抢答器计时报警

four-waybuzzer

luzhiwen

Abstract

Has the very many competition situation along with our country economy and the cultural establishment development to need to have fast fair vies to answer first.

Soldier electron vies to answer first, it has first vies to answer first, reprimands the by-pass, the time limit vies to answer first, the bell sound prompt, the numeral demonstrated, the system reports to the police and so on the functions. Mainly by vies to answer first the electric circuit, the timing circuit, the alarm circuit, the sequence circuit composes. In which vies to answer first the electric circuit mainly is distinguishes contestant's pressed key order, the lock saves first the viing to answer first serial number, for the decoding display circuit use, and blocks the input circuit, forbids other contestants to vie to answer first; The timing circuit mainly is the definition vies to answer first the time, forbids the contestant overtime to vie to answer first, vies to answer first the working life to be possible to establish by the question difficulty. Has guaranteed the competition fairness, is advantageous for the contestant to operate, realization fast unmistakable viing to answer first.

This design electric circuit mainly used first the encoder, the latch, electronic primary devices and so on 555 timers.

Keywords:four-way buzzer timing call the police

1设计目的

熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。了解数字系统设计的基本原理思想和方法,学会科学分析和解决问题,有效的提高动手能力,独立分析问题、解决问题的能力,协调能力和创造性思维能力。培养认真严谨的工作作风和实事求是的工作态度,培养综合运行理论知识解决实际问题的能力。

2设计要求

设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。设置犯规电路,对提前抢答和超时答题(例如3分钟)的组别鸣笛示警。设置一个计分电路,进行加分和减分。

3设计任务

分析设计任务,电路应包括抢答,计分和报警三大主要部分。当单片机定时器的中断循环二十次后开始报警,报警LED灯亮。抢答电路要求在裁判按下抢答按钮后,选手开始抢答,并在一个选手抢答成功后现实哪个选手抢答成功且此时其他选手不能抢答,因此在单片机程序中设置while(!k);和while(k6&k7&k8);作为逻辑语句,实现一个选手抢到后其他选手抢答无效。调用void key()实现抢答和计分电路的功能,并通过void SMG_Display是每个选手的分数在数码管上显示出来。在设计控制电路时,应正确处理各个信号之间的时序关系。

4设计方案

4.1 硬件设计

4.1.1 四路抢答器按键控制电路

图4.1四路抢答器按键控制电路

键盘是单片机不可或缺的输入设备,是实现人机对话的纽带,键盘按结构形式可以分为非编码键盘和编码键盘,前者用软件方式产生编码,而后者用硬件方式产生编码,在单片机中使用的都是非编码键盘,因为非编码键盘结构简单,成本低廉,非编码键盘类型也多,如此次使用的独立式键盘,此键盘的特点是使用了多少根I/O线就有多少个按键,由于此次我们使用了8根I/O线所以有8个按键.这种键盘各个按键比较少且各个按键相互独立,因此可以根据实际需要对键盘中的按键灵活编码。CPU可以直接通过I/O线状态来获得按键的状态编码,虽然操作简便但是会占用大量的I/O口线,因此只有当单片机各接口资源比较充裕时才可以选择这种键盘。

4.1.2 数码管显示电路

图4.2数码管显示电路

电路可以清通过数码管显示晰直观的显现出个选手的得分情况,且结构简单易使用软件对其编程,数码管功率小消耗低,抗干扰能力强。由p0.0-p0.7对其显示数码进行编程控制,由三极管控制各选手的记分板的工作与锁存状态,简单易操作。

4.1.3 外部时钟脉冲电源电路

图4.3外部时钟脉冲电源电路

由晶振和电容,vcc构成简单的外部脉冲电源电路,此电路结构简单便于控制,且输出脉冲稳定,并将rst引脚与电路相连防止单片机突然断电时rom地址中的内容的丢失也可增加了复位的功能。

4.1.4 四位电路抢答器

图4.4四位电路抢答器

当主持人按下抢答按键时,选手开始抢答,并有LED灯显示选手是否抢答成功,在强大成功回答抢答则有开始进入加减法计分电路,显示选手分数,若抢答后未在规定时间内回答则报警灯亮,提示强大时间超时。

图4.5系统结构图

4.1.5 PCB设计

图4.6 PCB电路设计

在连接原理图的同时把元器件的封装做好,最后转化成PCB,再通过自动布线或者手动连线,确保各线之间无交接的地方。

4.2.软件设计

4.2.1软件仿真

如上图,此电路主要实现选手的抢答。

接通电源后,当主持人将开关拨到“清零”状态,抢答器处于禁止状态,定时器设定时间,主持人将抢答按钮按下允许抢答,选手开始抢答,在规定时间内完成抢答,优先判断,使用LED灯显示选手抢答。

图4.7抢电答路

4.2.1.1 单片机外部脉冲电路

图4.8外部脉冲电路

单片机外部脉冲电路由两个30pf的电容和一个晶振组成,通过晶振的周期信号作为时钟脉冲,在rst处接电源,保障单片机突然断电时不会丢失在ROM中存储的数据信息。

4.2.1.2 选手抢答开关电路

图4.9选手抢答开关电路

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

三路抢答器设计

数字电路课程设计 一、设计任务和要求: 1. 抢答器同时供3名选手抢答,分别用3个按钮D1、D2、D3表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数 码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人 将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为8秒。当主持人启动"开始"键后, 定时器进行计时(0-7)。 5.主持人没有闭合开关之前,有人抢答,数码管显示对应的选手编号,且红灯亮,当 所有选手闭合自己对应的开关时,编号数码管清为0。 6. 参赛选手在设定的时间内进行抢答,抢答有效,计数器停止工作,显示器上显示 选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。 7. 如果定时时间已到,无人抢答,本次抢答无效,定时显示器上显示8,且黄灯亮以 示警告时间已到,若有人抢答,显示抢答人组号并锁存,直到主持人将系统清零, 即黄灯灭,两个数码管显示都为0。

二、 实验框图: 1、设计方案 : 抢答器具有锁存、定时、显示功能。抢答之前,两个数码管显示为0,即主持人没有按下开始按钮之前,有人抢答,亮红灯给以警告,数码管显示对应的选手编号,当选手闭合自己的开关时,对应数码管显示为0;当主持人按下开始按钮后,进行抢答,若有人抢答,锁存器锁存选手号和抢答时的时间,同时亮绿灯,直到主持人清零,系统才能再次正常工作;如果时间已到,没有人回答,黄灯亮,即在8秒的时刻,如果有选手抢答,锁存器锁存选手号,数码管并将选手号显示出来,直到主持人清零。 2、系统框图 : 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行计时,并通过译码器在数码管中显示。当某选手按开关键时,通过控制电路控制锁存器的使能端,并在锁存器中锁存,在输出端产生相应的开关电平信息,然后在译码器中译码,将编码器输出的8421BCD 转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。 161全加器 抢答按钮 75锁存器 48译码器 显示译码 555秒脉冲产生器器 48译码器 显示译码 控制电路 主持人开关 报警灯

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

电子产品设计之四路抢答器的设计

《电子产品设计》 设计报告 设计时间: 2010年11月 班级: 09网络工程4班姓名: 报告页数: 7页

广东工业大学课程设计报告 设计题目四路抢答器的设计 学院计算机学院专业 09网络工程班 4班 学号姓名 (合作者____号____)成绩评定_______ 教师签名_______

一、设计任务和要求 1.设计任务 (1)设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示选手组号,同时蜂鸣器鸣响,倒计时停止。 (2)分组: A负责抢答控制电路和定时电路。 B负责第一信号鉴别电路和核心控制电路。 2.设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时(15秒)抢答的功能。 (4)当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间(15秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)用石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 二、总体方案选择 本设计电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经3线8段译码器将数字显示在显示器上同时产生音响。系统原理框图如下: 图1 四人智力竞赛抢答器框图

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

三路抢答器课程设计

设计题目 三路抢答器的PLC控制 1 主要内容 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 2 具体要求 1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。 6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 3 进度安排

1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 4 完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 6 总评成绩 指导教师签名日期年月日系主任审核日期年月日 目录 绪论 (3) 第一章系统设计内容及要求 (1) 第二章硬件设计 (3) 2.1硬件选取 (3) 2.1.1 三菱PLC可编程控制器 (3) 2.1.2 按钮板块 (3)

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

三路抢答器的设计与仿真

《基础强化训练》报告书 题目:三路抢答器 专业班级:电子0903 学生姓名: 指导教师: 武汉理工大学信息工程学院 2011 年7 月8 日

基础强化训练任务书 学生姓名:专业班级: 指导教师:工作单位:武汉理工大学 题目:三路抢答器的PCB板设计 一、训练目的 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 二、训练内容和要求 1、基础课程和基本技能强化训练 (1)设计一个三路抢答器电路; (2)对所设计电路的基本原理进行分析; 2、文献检索与利用、论文撰写规范强化训练 要求学生掌握基本的文献检索方法,科学查找和利用文献资料,同时要求学生获得正确地撰写论文的基本能力,其中包括基本格式、基本排版技巧和文献参考资料的写法、公式编排、图表规范制作、中英文摘要的写法等训练。 3、基本动手能力和知识应用能力强化训练 (1)学习PROTEL软件; (2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 三、初始条件 计算机;Microsoft Office Word 软件;PROTEL软件 四、时间安排 1、2011年7 月11日集中,作基础强化训练具体实施计划与报告格式要求的说明; 学生查阅相关资料,学习电路的工作原理。 2、2011 年7 月11 日,电路设计与分析。 3、2011 年7 月12日至2011 年7 月14日,相关电路原理图和PCB版图的绘制。 4、2011年7 月15日上交基础强化训练成果及报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

FPGA四路电子抢答器设计

课程设计报告 专业班级 课程 FPGA/CPLD原理及应用题目四路电子抢答器设计学号 姓名 同组人 成绩 2013年5月

一、设计目的 1.进一步掌握QUARTUSⅡ软件的使用方法; 2.会使用VHDL语言设计小型数字电路系统; 3.掌握应用QUARTUSⅡ软件设计电路的流程; 4.掌握电子抢答器的设计方法。 二、设计要求 1.系统总体设计 (1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。 (3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。 (4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加1分,答错一次减1分。 (5)设置一个系统清除开关,该开关由主持人控制。 (6)具有犯规设置电路。超时抢答者,给予鸣喇叭警示,并显示规范组别。 2.设计方案 系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号RST,计分时钟信号CLK,加分按钮端ADD、en,减分端SUB、sta,计时使能端en时钟信号clk,复位rst;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用a1、b1、c1、d1表示,四个组抢答时的计时数码显示控制信号,抢答成功组别显示的控制信号,各组计分显示的控制信号。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(输出显示模块)。 3.如图为流程图: 开始→抢答→抢答鉴别→回答→加减分数→显示↑↑ 倒计时倒计时 犯规抢答或抢答后答题时间超时鸣喇叭警告。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级 09级 班级 09电信班1、2 指导教师 _侯益坤、伍勤谟 · 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 — (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 】 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以秒的周期响。以便答对给予基本加分

四路抢答器的设计

江汉大学物理与信息工程学院 课程设计报告 课题名称:四路抢答器的设计 (英文) : The Design of Four- routes Priority-answer Set 专业:电子信息工程(光电信息工程) 班级: 学号: 学生姓名: 指导教师: 2008年9 月26 日

四路抢答器的设计 一、设计任务及要求 1、设计一个四路抢答器(有线控制)用通用板实现,当四组参赛者之一抢先按下开关时,抢答器能准确的判断出是哪一组抢答成功,指示灯显示抢答组别,扬声器发出声音。 2、抢答器具备鉴别第一个信号和锁存能,在主持人复位开始抢答后,将第一个抢答信号锁存,阻止其他信号输入,复位后开始新一轮抢答。 二、设计框图及整机概述 整机设计框图如图1所示(Windows画图工具),参赛者按钮是四路单刀双掷开关,选择前接低电平;主电路由4个D触发器组成,D脚接开关,Q脚接输出;由TTL与非门形成特定电平控制边沿触发器的CP,使触发器暂时封锁;抢答成功通过发光二极管显示,同时蜂鸣器发出声音提示。设计抢答器的关键是主电路和CP脉冲产生电路的方案确定,将在下面详细说明。 图1 四路抢答器设计框图

三、单元电路的设计方案及原理说明 1、主电路的设计方案 方案一:可以由编码器构成抢答部分,编码器有优先选择的特点,也可以达到抢答的效果,但它有不准确的缺点,如果两人同时抢答的话,那么,那么优先级比较高的选项会抢得机会。而且编码后的结果为二进制编码,需经过一译码器才能显示,电路的可用性不高。 方案二:用边沿触发器实现抢答部分,可以由是否产生脉冲来封锁触发器。当有人按下抢答按键时(即Di=“1”),n i Q 和n i Q 有改变,n i Q 的状态与i D 的 输入直接相关,再与脉冲经过若干与非门后,便可封锁后来的按键。而且它比方案一更准确(能最大可能的区分抢答先后),而且可以直接由n i Q 与外部显示相连,这种方案既方便又准确,而且电路比较简单易于实现。 比较两套方案后,选择方案二。电路原理图如图2所示(protel99 SE )。 图2 主电路原理图 2、脉冲产生电路的设计方案 方案一:由与非门实现脉冲振荡,这种电路的频率范围由RC 的变化确定,这种电路虽然简单,但起振频率范围有限,电路只会在一定的范围内产生振荡。 方案二:由555定时器和外接元件R1、R2、C 构成多谐振荡器,电路只有两

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

PLC课程设计三路抢答器

PLC课程设计三路 抢答器

1 引言 1.1设计任务与要求 一、控制要求 设计三路抢答器控制系统,其控制要求如下:一个三路抢答器,任意一组抢先按下后,显示器能及时显示该组的编号而且指示灯开始闪烁,同时锁住抢答器,使其它组按下无效,抢答器复位后才可重新抢答。 二、设计I/O点分配 三、画出PLC的外部接线图 四、设计完整的梯形图 五、编译、下载、运行 六、工作流程如图1所示 图1.1工作流程图

1.2实用价值与理论意义 当前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。可是随着功能的增多,电路也越复杂,而且成本偏高,故障率高,显示方式简单或者没有。而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并经过数字量和模拟量的输入/输出来控制机械设备或生产过程. 抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文介绍一种抢答器,能使三个人同时参加抢答,赛场中设有1个裁判台,三个参赛台.总体设计选用施耐德PLC控制,抢答操作方便,在很多的场所都能够使用,而且给人的视觉效果非常好。 抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,她的应用场合也随之增加;技术含量大大提升;更加方便可靠。用PLC进行知识竞赛抢答器设

相关文档
最新文档