译码器的设计实验报告

译码器的设计实验报告

译码器的设计实验报告

引言:

译码器是数字电路中的一种重要组件,其功能是将输入的数字信号转换为相应

的输出信号。本实验旨在设计一个基于逻辑门的4-16译码器,并通过实际电路搭建和测试,验证其正确性和可靠性。

一、实验目的

本实验的主要目的是设计并实现一个4-16译码器,通过输入4位二进制数,输出对应的16位输出信号。通过实验,我们将掌握译码器的基本原理和设计方法,并了解其在数字电路中的应用。

二、实验原理

译码器是一种多输入多输出的组合逻辑电路,它的输入信号通过逻辑门的组合,控制输出信号的产生。在本实验中,我们将使用74LS138芯片来实现4-16译

码器的设计。

三、实验器材和电路图

实验器材:

1. 74LS138芯片

2. 逻辑门电路板

3. 连接线

4. 电源

电路图:

(此处可以插入电路图,但不能包含网址链接)

四、实验步骤

1. 将74LS138芯片插入逻辑门电路板上的相应插槽中,并确保插入正确。

2. 将电源连接到逻辑门电路板上的相应接口,确保电路板正常供电。

3. 使用连接线将74LS138芯片的输入引脚与逻辑门电路板上的开关连接,模拟输入信号。

4. 使用连接线将74LS138芯片的输出引脚与逻辑门电路板上的LED灯连接,观察输出信号。

5. 逐个改变输入引脚的状态,观察输出引脚和LED灯的变化情况。

6. 记录每个输入信号对应的输出信号,以验证译码器的正确性。

五、实验结果与分析

通过实验,我们得到了每个输入信号对应的输出信号,并进行了验证。实验结果表明,设计的4-16译码器能够准确地将输入的4位二进制数转换为相应的16位输出信号。这验证了译码器的正确性和可靠性。

六、实验总结

本实验通过设计和实现一个4-16译码器,加深了我们对译码器的理解和应用。通过实际操作和观察,我们验证了译码器的正确性和可靠性。译码器在数字电路中有着广泛的应用,对于数据处理和信号转换起着重要作用。

七、实验心得

通过本次实验,我深刻认识到了译码器在数字电路中的重要性。译码器的设计和实现需要考虑多个因素,如输入信号的编码方式、输出信号的逻辑关系等。在实验过程中,我不仅学会了如何使用74LS138芯片来实现译码器的设计,还加深了对逻辑门的理解和应用。

总之,本次实验使我对译码器有了更深入的了解,并提高了我的实际操作能力和逻辑思维能力。通过实验,我对数字电路的设计和实现有了更深入的认识,为今后的学习和研究打下了坚实的基础。

译码器 实验报告

译码器实验报告 译码器实验报告 引言: 在现代科技的发展中,计算机和电子设备扮演着重要的角色。而在这些设备中,译码器是一种关键的元件,它能够将数字信号转换为可读的信息,使得我们能 够更好地理解和操作这些设备。本实验旨在探究译码器的工作原理以及其在电 子领域中的应用。 一、译码器的基本原理 译码器是一种数字电路,其作用是将输入的数字信号转换为对应的输出信号。 它通常由多个逻辑门组成,根据不同的输入组合产生不同的输出。译码器可以 分为德州仪器(TI)码译码器、BCD-7段译码器等多种类型。 二、实验步骤 1. 实验材料准备:准备所需的译码器芯片、电路板、电源等材料。 2. 连接电路:根据实验指导书上的电路图,将译码器芯片与电路板上的其他元 件进行连接。 3. 设置电源:将电源接入电路板,确保电路正常工作。 4. 输入信号:通过拨动开关或其他输入设备,将数字信号输入到译码器中。 5. 观察输出:观察译码器的输出状态,记录并分析不同输入组合对应的输出结果。 三、实验结果 通过实验,我们得到了以下几个重要的实验结果: 1. 不同的输入信号组合会导致译码器产生不同的输出信号。

2. 译码器的输出信号可以直接连接到其他电子设备中,实现数字信号的解码和显示。 3. 译码器的输出信号可以通过适当的电路设计和调整,实现各种复杂的功能。 四、实验分析 译码器在电子领域中有着广泛的应用。它可以用于数码管的显示、LED灯的控制、数码电路的设计等方面。通过将数字信号转换为可读的信息,译码器为我们提供了更方便、更直观的操作方式。 此外,译码器还可以与编码器相结合,实现信息的双向转换。编码器将输入的信息转换为数字信号,而译码器则将数字信号转换为对应的输出信息。这种编码-解码的过程在许多通信系统中起着重要的作用,如数字音频、视频传输等。 五、实验总结 通过本次实验,我们深入了解了译码器的工作原理和应用。译码器作为一种重要的数字电路元件,为我们提供了数字信号解码的功能,使得我们能够更好地理解和操作电子设备。在今后的学习和工作中,我们将继续深入研究和应用译码器,以推动电子技术的发展和创新。 结语: 译码器作为一种关键的数字电路元件,在现代科技中扮演着重要的角色。通过将数字信号转换为可读的信息,译码器为我们提供了更直观、更方便的操作方式。通过本次实验,我们对译码器的工作原理和应用有了更深入的了解。在今后的学习和工作中,我们将继续探索和应用译码器,以推动电子技术的发展和创新。

译码器的设计实验报告

译码器的设计实验报告 译码器的设计实验报告 引言: 译码器是数字电路中的一种重要组件,其功能是将输入的数字信号转换为相应 的输出信号。本实验旨在设计一个基于逻辑门的4-16译码器,并通过实际电路搭建和测试,验证其正确性和可靠性。 一、实验目的 本实验的主要目的是设计并实现一个4-16译码器,通过输入4位二进制数,输出对应的16位输出信号。通过实验,我们将掌握译码器的基本原理和设计方法,并了解其在数字电路中的应用。 二、实验原理 译码器是一种多输入多输出的组合逻辑电路,它的输入信号通过逻辑门的组合,控制输出信号的产生。在本实验中,我们将使用74LS138芯片来实现4-16译 码器的设计。 三、实验器材和电路图 实验器材: 1. 74LS138芯片 2. 逻辑门电路板 3. 连接线 4. 电源 电路图: (此处可以插入电路图,但不能包含网址链接)

四、实验步骤 1. 将74LS138芯片插入逻辑门电路板上的相应插槽中,并确保插入正确。 2. 将电源连接到逻辑门电路板上的相应接口,确保电路板正常供电。 3. 使用连接线将74LS138芯片的输入引脚与逻辑门电路板上的开关连接,模拟输入信号。 4. 使用连接线将74LS138芯片的输出引脚与逻辑门电路板上的LED灯连接,观察输出信号。 5. 逐个改变输入引脚的状态,观察输出引脚和LED灯的变化情况。 6. 记录每个输入信号对应的输出信号,以验证译码器的正确性。 五、实验结果与分析 通过实验,我们得到了每个输入信号对应的输出信号,并进行了验证。实验结果表明,设计的4-16译码器能够准确地将输入的4位二进制数转换为相应的16位输出信号。这验证了译码器的正确性和可靠性。 六、实验总结 本实验通过设计和实现一个4-16译码器,加深了我们对译码器的理解和应用。通过实际操作和观察,我们验证了译码器的正确性和可靠性。译码器在数字电路中有着广泛的应用,对于数据处理和信号转换起着重要作用。 七、实验心得 通过本次实验,我深刻认识到了译码器在数字电路中的重要性。译码器的设计和实现需要考虑多个因素,如输入信号的编码方式、输出信号的逻辑关系等。在实验过程中,我不仅学会了如何使用74LS138芯片来实现译码器的设计,还加深了对逻辑门的理解和应用。

数字电路译码器实验报告

数字电路译码器实验报告 一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件;2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC 码的十个代码译成十个高、低电平信号。3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B 数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能

将一片3线—8线译码器74LS138插入RXB-1B 数字电路实验箱的IC 空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC 端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4 、Y3 、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB —IB 型数字电路实验箱的电源“ ”,16号引脚+5V 接至RXB-1B 数字电路实验箱的电源“ +5V ”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED )的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线- 8线译码器 74LS138功

数据选择器和译码器实验报告

竭诚为您提供优质文档/双击可除数据选择器和译码器实验报告 篇一:实验二译码器与数据选择器的功能测试及应用(实验报告) 实验2译码器与数据选择器的功能测试及应用 一.实验目的与要求(5分) 1.掌握中规模集成译码器与数据选择器的逻辑功能和使用方法; 2.学习用集成译码器与数据选择器构成组合逻辑电路的方法。 三、实验原理与内容(20分) 1.译码器 (1)译码与译码器的概念译码是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意,实现译码功能的电路称为译码器。 (2)译码器分类 译码器分为通用译码器(包括二进制、二─十进制译码器)与显示译码器(包括TTL共阴显示译码器、TTL共阳显示译码器等)两大类。

(3)利用译码器实现组合逻辑函数二进制、二─十进制译码器的输出端的逻辑式是以输入变量最小项(取反)的 形式,故这种译码器也叫最小项译码器,利用最小项译码器可以实现简单的组合逻辑电路。 2.数据选择器 (1)数据选择器概念与功能 数据选择器可以实现从多路数据传输中选择任何一路 信号输出,选择的控制由地址码决定。数据选择器可以完成很多的逻辑功能,例如函数发生器、并串转换器、波形产生器等。(2)用数据选择器实现组合逻辑函数 选择器输出为标准与或式,含地址变量的全部最小项。例如四选一数据选择器输出如下: Y=A1A0D3+A1A0D2+A1A0D1+A1A0D0而任何组合逻辑函数都可以表示成为以上的表示形式,故可用数据选择器实现。 四.实验步骤与记录(30分) 1.译码器74Ls139功能测试 测试译码器74Ls139中任意一组2-4线译码器的功能,其中译码器的输入端s、A1、A0接拨码开关输出口,输出Y0~Y3接发光管。改变拨码开关开关的状态,观察输出,写出Y0~Y3的输出。实验电路图如下:(请同学们完善,要求用铅笔做图) 2.用译码器实现逻辑函数F=Abc+Abc。用拨码开关开关

译码器实验报告

译码器实验报告 一、实验目的 本实验旨在让学生了解译码器的基本原理和使用方法,掌握译码器在 数字电路中的应用。 二、实验原理 1. 译码器的定义 译码器是一种将数字信号转换为特定输出信号的数字电路,其输入为 n位二进制数,输出为m位二进制数。其中n和m可以相等,也可以不相等。 2. 译码器的分类 按照输出类型可分为:二进制译码器、BCD译码器、十六进制译码器等;按照输入类型可分为:通用型译码器和专用型译码器。 3. 74LS138三-八线译码器 74LS138是一种常见的三-八线译码器,它具有三个输入端(A0、A1、A2)和八个输出端(Y0~Y7)。当输入端口接收到对应的二进制编码时,对应的输出端口会产生低电平信号。 4. 实验装置

本次实验所使用的装置包括:74LS138三-八线译码器、LED灯、电路板、杜邦线等。 三、实验步骤 1. 搭建基础电路 将74LS138三-八线译码器插入电路板上,并连接电源。接着将LED 灯连接到输出端口上,通过杜邦线连接到电路板上。 2. 连接输入信号 将A0、A1、A2三个输入端口分别连接到三个开关上,并将开关连接到电路板上。 3. 验证实验结果 打开电源,打开三个开关,观察LED灯的亮灭情况。根据74LS138的真值表可以验证输出是否正确。 四、实验结果分析 通过本次实验,我们成功搭建了74LS138三-八线译码器电路,并成功验证了其输出是否正确。在实际应用中,译码器常用于数字显示、地址译码等方面。 五、实验注意事项 1. 操作时要注意正负极的连接,避免短路或损坏元件。

2. 操作前应检查元件是否损坏或老化。 3. 在操作过程中要注意安全,避免触电等危险事件发生。 六、总结 本次实验让我们更加深入地了解了译码器的基本原理和使用方法,并掌握了其在数字电路中的应用。通过手动操作验证真值表结果,我们对数字逻辑的理解也更加深入。

译码器应用设计实验报告

译码器应用设计实验报告 引言 译码器(Decoder)是数字电路中常用的逻辑电路之一,它实现了将输入数字码转换成输出端口的控制信号。译码器被广泛应用于数字系统中,如计算机、通信、测控等领域。通常情况下,译码器基于真值表或卡诺图设计,可以根据输入的不同编码方式,输出相应的解码结果。 本实验主要介绍译码器的应用设计。通过实验,我们将学会如何使用译码器来实现数字系统的控制和数据处理任务。本实验所涉及的译码器有BCD-7段译码器、数值译码器、时序译码器以及存储器译码器等。 实验器材 1. 逻辑计算器 2. 示波器 3. 数字电路实验箱 4. 5V直流电源 5. 译码器(BCD-7段译码器、数值译码器、时序译码器和存储器译码器) 6. LED数码管 实验原理 1. BCD-7段译码器 BCD-7段译码器是将4位BCD码转换成7段数码管显示的译码器。8个BCD码,分别对应着数字0~9和字母A~F,输出接到控制7个LED数码管的段选端口和1个公共阴极的位选端口。 2. 数值译码器 数值译码器是将4位二进制数转换成BCD码的译码器。通过数值译码器,可以将数字的二进制编码转换成BCD编码,从而实现数字的BCD码显示。译码器输出接LED数码管的输入端口。

时序译码器是根据不同状态的时序信号,将输入的二进制数码转换成对应的控制信号的译码器。将时序信号和数码信号分别输入至译码器的两个输入端口,译码器将输出对应的动作信号。常用于时序控制电路的设计中。 4. 存储器译码器 存储器译码器是将存储芯片中的地址码转换成控制芯片的输入信号的译码器。存储芯片中的地址码分别对应着芯片的不同存储单元,译码器将地址码转换成控制信号,使控制芯片可以正确访问存储芯片中的数据。 实验设计 实验步骤: (1)将BCD码8个输入引脚分别接到译码器的8个输入端口上。 (4)将5V直流电源连接到译码器和LED数码管上。 实验结果: 输入BCD码0000~1111时,LED数码管正确显示相应的数字0~9和字母A~F。 (3)将LED数码管的位选端口接地。 按照时序信号和数码信号的输入不同,LED数码管顺序显示0~9,循环不断。 控制芯片可以正确的访问存储芯片中的数据,实现对数据的读写操作。 通过本次实验的学习,我们掌握了译码器的基本原理和应用方法。译码器可以将数据的编码方式转换成控制信号或显示信号,使数字电路的控制或者数据处理得以实现。在数字系统的设计中,译码器是一个十分重要的模块。加深对其工作原理的理解和应用,有助于提高数字电路的设计水平。译码器还应用于数字系统的地址译码、流水灯、计时器和多路选择电路等方面。在实际生产中,厂家已经为我们提供了大量的译码器模块和集成电路芯片,使得数字电路的设计和实现变得更加便捷和高效。 译码器的设计需要根据具体的应用需求和输入编码方式进行选择和优化。在实验中,我们可以通过调节输入编码方式、输出类型、电源电压等因素来对译码器的性能进行测试和改进。我们还可以通过组合多个译码器来实现更加复杂的数字电路。 译码器作为数字电路的重要组成部分,其应用范围和性能要求越来越高。通过实验学习,我们可以了解到译码器的基础原理和应用方法,同时还能够提高我们对数字电路的设计和实现能力,为今后的学习和工作打下坚实的基础。除了常规的译码器,在实际应用中还有一些特殊的译码器被广泛使用,例如地址译码器、多路选择器和编码器等。它们都具有不同的功能和应用场景,在数字电路的设计中起到不可或缺的作用。

设计译码器实验报告

设计译码器实验报告 设计译码器实验报告 引言: 在现代科技的发展中,数字电路设计与应用扮演着重要的角色。其中,译码器作为一种常见的数字电路,用于将输入的数字信号转换为相应的输出信号,广泛应用于计算机、通信和控制系统等领域。本实验旨在设计一个译码器电路,并通过实验验证其功能和性能。 一、实验目的: 本实验旨在通过设计和实现一个译码器电路,加深对数字电路原理和设计方法的理解,掌握译码器的工作原理及应用。 二、实验原理: 译码器是一种数字电路,用于将输入的数字信号转换为相应的输出信号。常见的译码器有二进制译码器、BCD译码器、十六进制译码器等。本实验以二进制译码器为例进行讲解。 二进制译码器的工作原理是通过输入的二进制码来选择输出的特定信号。它通常由多个与门和反相器组成。输入的二进制码经过与门进行逻辑运算,根据输入码的不同,选择相应的输出信号。 三、实验材料和设备: 1. 电路设计软件(如Proteus、Multisim等) 2. 电路实验板 3. 连接线 4. 电源

四、实验步骤: 1. 确定译码器的输入和输出信号类型。根据实际需求,选择合适的译码器类型。 2. 使用电路设计软件,打开新的电路设计窗口。 3. 在电路设计窗口中,选择合适的元件,如与门、反相器等,进行电路设计。 4. 连接各个元件,确保电路连接正确。 5. 设置输入信号的初始状态,如高电平或低电平。 6. 运行电路仿真,观察输出信号的变化。 7. 调整输入信号,观察输出信号的变化。 8. 分析实验结果,验证译码器的功能和性能。 五、实验结果与分析: 通过实验,我们成功设计并实现了一个译码器电路。在不同的输入信号下,译 码器输出了相应的信号,验证了其功能和性能。 通过分析实验结果,我们可以得出以下结论: 1. 译码器能够将输入的二进制码转换为相应的输出信号。 2. 译码器的输出信号与输入信号之间存在一一对应的关系。 3. 译码器的输出信号可以用于控制其他数字电路或设备的工作状态。 六、实验总结: 本实验通过设计和实现一个译码器电路,加深了对数字电路原理和设计方法的 理解。通过实验,我们验证了译码器的功能和性能,并得出了相应的结论。 在今后的学习和应用中,我们可以根据实际需求选择合适的译码器类型,并灵 活运用译码器来解决问题。同时,我们也应不断学习和探索新的数字电路设计 方法,为科技的发展做出更大的贡献。

指令译码器实验报告

计算机组成与系统结构 指令译码器试验报告 姓名: 试验时间:2012年5月 一、实验名称:指令译码器的设计 二、实验原理:一台计算机能执行的机器指令的集合称为该机器的指令集或指令系统,它是 构成程序的基本元素,也是硬件设计的依据,它衡量极其硬件的功能,反映硬件对软件支持的程度。而指令译码器所起到的作用就是根据用户所选择的指令代码来执行相关操作,将二进制代码转换为机器所能识别的机器码,设计时设置了一个6位二进制代码的指令输入端,输出端有各种控制信号,寄存器写信号,跳转信号,存储器写信号等等。 三、试验目的:使用指令译码器,使得我们使用简单的二进制代码,经过指令译码器转换为 机器代码,从而很容易的使计算机执行相关操作,是cpu不可或缺的部件。 四、 Verilog源代码: module zlymq(op,func,RegWr,Branch,Jump,ExtOp,AluSrc,ALUctr,MemWr,MemtoReg,RegDst); input [5:0] op,func; output RegWr,Branch,Jump,ExtOp,AluSrc,MemWr,MemtoReg,RegDst; output [2:0] ALUctr; reg RegWr,Branch,Jump,ExtOp,AluSrc,MemWr,MemtoReg,RegDst; reg [2:0] ALUctr; always @(op) case (op) 6'b000000: begin {Branch,Jump,RegDst,AluSrc,MemtoReg,RegWr,MemWr}=7'b0010010; case (func) 6'b100000:ALUctr=3'b001; 6'b100010:ALUctr=3'b101; 6'b100011:ALUctr=3'b100; 6'b101010:ALUctr=3'b111; 6'b101011:ALUctr=3'b110; endcase end 6'b001101: begin {Branch,Jump,RegDst,AluSrc,MemtoReg,RegWr,MemWr,ExtOp}=8'b00010100; ALUctr=3'b010;

进制7段数码显示译码器设计实验报告

实验目的与要求 实验名称: 十六进制7 段数码显示译码器设计实验目的:学习7 段数码显示译码器的Verilog 硬件设计。 实验原理 7 段数码是纯组合电路。设输入的4 位码为A[3:0], 输出控制7 段共阴数码管的7 位数据为 LEDS[6:0]。输出信号LEDS的7位分别接共阴数码管的7个段,高位在左,低位在右 实验内容 1、编辑和输入设计文件新建文件夹——输入源程序——文件存盘源程序: module LED(A,LED7S); input [3:0]A; output [6:0]LED7S; reg [6:0]LED7S; always @ (A) begin: LED case(A) 4'b0000: LED7S<=7'b0111111; 4'b0001: LED7S<=7'b0000110; 4'b0010: LED7S<=7'b1011011; 4'b0011: LED7S<=7'b1001111; 4'b0100: LED7S<=7'b1100110; 4'b0101: LED7S<=7'b1101101; 4'b0110: LED7S<=7'b1111101; 4'b0111: LED7S<=7'b0000111; 4'b1000: LED7S<=7'b1111111; 4'b1001: LED7S<=7'b1101111; 4'b1010: LED7S<=7'b1110111; 4'b1011: LED7S<=7'b1111100; 4'b1100: LED7S<=7'b0111001; 4'b1101: LED7S<=7'b1011110; 4'b1110: LED7S<=7'b1111001; 4'b1111: LED7S<=7'b1110001; default: LED7S<=7'b0111111; endcase

3-8译码器VHDL设计实验 实验报告

3-8译码器VHDL设计实验报告 一、设计原理: 先判断使能端口EN状态,当其满足高电平时,判断三个输入端口A2,A1,A0的状态来决定输出。若使能端口为低电平则固定输出不受逻辑输出A2,A1,A0的影响。使能有效时按照三个输入状态决定八个输出的状态。 真值表: A2 A1 A0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 二、实验程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY demo IS PORT(A:IN STD_LOGIC_VECTOR(2 DOWNTO 0); EN:IN STD_LOGIC; Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END demo; ARCHITECTURE DEC_BEHAVE OF demo IS SIGNAL SEL:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN SEL(0)<=EN; SEL(1)<=A(0); SEL(2)<=A(1); SEL(3)<=A(2); WITH SEL SELECT Y<= "00000001" WHEN "0001", "00000010" WHEN "0011", "00000100" WHEN "0101", "00001000" WHEN "0111", "00010000" WHEN "1001", "00100000" WHEN "1011",

数字电路实验二--译码器实验报告

深圳大学实验报告实验课程名称:数字电路与逻辑设计实验项目名称:译码器 学院:专业: 报告人:学号:班级:同组人: 指导教师: 实验时间: 实验报告提交时间:

实验报告包含内容 一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试74LS138逻辑功能 将一片74LS138译码器插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。

数字电路译码器实验报告

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱

2. 器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、 任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能 将一片3线—8线译码器74LS138插入RXB-1B 数字电路实验箱的IC 空插座中,按图3-15接线。A0、A1、A2、STA 、STB 、STC 端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB —IB 型数字电路实验箱的电源“⊥”,16号引脚+5V 接至RXB-1B 数字电路实验箱的电源“+5V ”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED )的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线-8线译码器74LS138功能表 输入 输出 使能 选择 0Y 1 Y 2Y 3Y 4Y 5Y 6Y 7Y A ST C B ST SA + A2 A1 A0

二-十进制译码器实验报告

竭诚为您提供优质文档/双击可除二-十进制译码器实验报告 篇一:实验二译码器及其应用 实验二译码器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),以3线-8线译码器74Ls138为例。其中A 2、A1、A0为地址输入端,Y0~Y7为译码输出端,s1、s2、s3为使能端。 (a)(b) 图6-13-8线译码器74Ls138逻辑图及引脚排列 表6-174Ls138功能表

二进制译码器还能方便地实现逻辑函数,如图6-3所示,实现的逻辑函数是 Z=Abc?Abc?Abc+Abc 2、数码显示译码器 a、七段发光二极管(LeD)数码管 (a)共阴连接(“1”电平驱动)(b)共阳连接(“0”电平驱动) (c)符号及引脚功能 图6-5LeD数码管 b、bcD码七段译码驱动器 此类译码器型号有74Ls47(共阳),74Ls48(共阴),cc4511(共阴)等,本实验系采用cc4511bcD码锁存/七段译码/驱动器。驱动共阴极LeD数码管。 图6-6为cc4511引脚排列 其中图6-6cc4511引脚排列A、b、c、D—bcD码输入端 a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LeD数码管。 LT—测试输入端,LT=“0”时,译码输出全为“1” 消隐输入端,bI=“0”时,译码输出全为“0”bI— Le—锁定端,Le=“1”时译码器处于锁定(保持)状态,译码输出保持在Le=0时的数值,Le=0为正常译码。

相关文档
最新文档