2 实验二三态门实验

2 实验二三态门实验
2 实验二三态门实验

实验二、三态门实验

一、实验目的

1、掌握三态门逻辑功能和使用方法。

2、掌握用三态门构成总线的特点和方法。

3、初步学会用示波器测量简单的数字波形。

二、实验所用仪器和芯片

1、四二输入与非门74LS00 1片

2、三态输出的四总线缓冲门74LS125 1片

3、TEC-5实验系统 1台

4、示波器 1台

三、实验内容

1、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门的三态(高阻)输出、高电平输出、低电平输出的电压值。

同时测试74LS125三态输出时74LS00的输出值。

2、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态(高阻)输出、高电平输出、低电平输出的电压值。

同时测试74LS125三态输出时74LS00的输出值。

*3、用74LS125两个三态门输出构成一条总线。使两个控制端一个为低电平,另一个为高电平。一个三态门的输入接50kHz信号,另一个三态门的输入接

500KHz信号。用示波器观察三态门的输出。

四、实验提示

1、三态门74LS125的控制端C为低电平有效。

2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。

五、实验报告要求

1、画出实验的逻辑电路图。

2、分析每个实验的实验现象。

3、分析实验1和实验2中三态门输出电压不同的原因。

*4、用三态门74LS125构成总线时,三态门输出应怎样连接?为什么在任何时刻,构成总线的三态门中只允许一个控制端为低电平,其余控制端应为高电平。

实验三集电极开路门和三态门优秀教案

实验二组合逻辑电路 一、实验目的 了解组合电路的设计方法;尝试用与非门组成简单组合电路。 二、实验原理 根据一定的逻辑功能设计出的逻辑电路,并不是唯一的,有繁有简。由于生产和使用与非门集成电路较多,所以,把一般函数式变换成只用与非门就能实现的函数式具有重要意义。这种函数式应包含逻辑乘及逻辑非运算,而且每个逻辑乘法之上必须有逻辑非运算(即与非-与非表达式。) 逻辑函数可以用真值表、逻辑表达式、卡诺图、逻辑图和波形图表示。它们之间有一定的换算规律。 三、实验仪器与器件: 1、数字实验箱一台; 2、集成电路与非门74LS00一块。 74LS00逻辑图 四、实验内容 (1)利用与非门组成与门电路;(2)利用与非门组成或门电路;(3)利用四个与非门组成异或门电路。 要求:(1)写出各个门电路的与非—与非表达式;

(2)画出逻辑电路,标出管脚; (3)自拟实验步骤,设计表格,测试电路的逻辑功能。 五、研究问题: 如何把与非门作为非门使用?

实验三集电极开路门和三态门 一、实验目的 掌握集电极开路门(OC门)和三态门(TSL门)的功能和应用。 二、实验设备与器件 1、数字电路实验箱一台; 2、OC门74LS22、TSL门74LS126各一块。 三、实验原理 在数字系统中,有时需要把两个或两个以上集成逻辑门的输出端直接并接在一起完成一定的逻辑功能,但普通的TTL门电路不允许将它们的输出端直接并联使用。而OC门和TSL 门是两种特殊的TTL门电路,它们允许将输出端并接在一起使用。 OC门与普通TTL与非门的区别仅是输出管的集电极是开路(悬空)的,使用时必须外接负载电阻Rc至电源。 三态门是在普通门电路的基础上,附加使能控制端和控制电路构成。除了通常的高、低电平两种低阻输出状态外,还有第三种输出状态(禁止状态),此时电路与负载之间相当开路。其主要作用是实现总线传输。 四、电路介绍 集电极开路门(OC门):采用74SL22,集电极开路四输入二与非门。 三态门(TSL门):采用74LS126,三态输出四总线缓冲器。 A为输入端,Y是输出端,G是工作方式控制端(也称禁止端或使能端)。 G=1时为正常工作状态,实现Y=A的逻辑功能;G=0时为禁止状态,输出端呈现高阻状态。 五、实验内容与方法: 1、测量OC门的逻辑功能。 (1)、按图1接线,输入端接逻辑电平,输出端接LED。检查无误后接上电源。 (2)、按表1改变四个输入状态,观察输出端电平显示,并用万用表测输出电压,填表1。 表1

基本门电路实验报告处理

43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016.10.7 专业班级: 姓 名: 学 号: 一、 实验目的 1.了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2.掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

A B F 三、实验原理图 图3-2与门电路 图3-3 异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) (2)半加器实验结果 (3) 表决电路结果 =1A B F

简易位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

集成门电路功能测试(三态门)

集成门电路功能测试实验报告 一实验内容 1 三态门的静态逻辑功能测试。 2 动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 3 测试三态门的传输延迟时间。 4 动态测试三态门的电压传输特性曲线。输入为三角波。 二实验条件 硬件基础实验箱,函数信号发生器,双踪示波器,数字万用表,74LS125。 三实验原理 1 首先测试实验箱上提供的频率电源参数是否正确。 打开实验箱电源,把分别把5MHz的脉冲接入红表笔上,黑表笔接地。观察示波器显示波形的频率是否为5MHz,经过观察计算,波形频率接近5M。误差很小,从下图可以看出,ch1为输入波形一个周期占四个格子,可计算得到f=5MHz。 2 三态门的静态逻辑功能测试。(后面四个实验都是通过示波器在同一时刻测试 3动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 使能端无效是波形:

使能端有效时输出波形 4 测试三态门的传输延迟时间。 通过测量同一时刻的输入输出波形,可以观察到三态门的输出延迟。得到波形图为

CH1,CH2分别为输入输出波形,可以看出在上升沿的输出延迟为10ns 然而下降沿的时候的截图已经丢失了,依稀记得在实验时候,测得是数据下降沿的输出延迟与上升沿的不一致,并且比上升沿的短。为9.6ns,其传输延迟为两个延迟的平均值9.8ns。 5 测试三态门的电压传输特性曲线。输入为三角波。 得到输入输出波形为:CH1为输入,CH2为输出。

得到阀值电压为0.92V。 四总结 这次实验基本上和上次实验的方法一样,没遇到什么大的问题。就是还是粗心。五评价 实验效果挺好。巩固了对逻辑器件的功能测试的方法和操作。

门电路实验报告

实验报告实验课题:门电路 实验目的: 常用腔I ri m路邂样功能扯其精试n也. 订件电路蔓圖实脸箱的结构、茶本功能和僅用有氐 掌握电路连接、排除故障和调试的方法。 实验仪器与器材: 1、数字电子技术实验系统 2、741^00典2输入与非门「I片 74LSI1三3输入与门1片 74LS04反和器 1片 741SH6 V^2输人异或门1片74LS32四2输入界或门1片 实验内容及步骤: TTL门电路逻辑功能验证 按图1-1在实验系统(箱)上找到相应的门电路。并把输入端按实验箱的逻辑开关,输出端按发光二极管如图1-2所示TTL与门电路逻辑功能验证接线图。 按状态表1-1中“与门”一栏输入A、B(0,1信号,观察输出结果()看LED备用发光二极管,如灯亮为1,灯灭为0)填入表1-1中,并用万用表测量0、1电平值。 按同样的方法,验证“或门” 74LS32…等的逻辑功能,并把结果填入表1-1中

图1-2TTL门电路实验流程图 实验数据记录及处理结果: 数据了记录自行完成 理论知识挺弄拐的.们实劭实行起來的确密纠斛的*做了好爭次总定有轲題?焉来

懂得了从电路图到真实电路的基 发現电线育 廉足坏抻的.做电蹬实检.还a 需啖多些经检呐? 五、实验总结 通过这次试验,我了解了用仪器拼接电路的基本情况。 本过程。在连接的时候,很容易因为线或者门出现问题。 H 次实验除珅下杲很豆杂”程是线路tt 较離连?实验所用到的关锭器件也不龙好找。 理论知识挺容易的+ (I 」实际实杠血來时例侥纠塔的.做了好茲挟总是育何遥*门来 发现电线件一棍呈坏抻的.做电賂实龄T 还定斋味幸映绅輪呐=

东南大学门电路和组合逻辑电路实验报告材料实用模板.

东南大学电工电子实验中心 实验报告 课程名称: 第次实验 实验名称: 院 (系 :专业: 姓名:学号: 实验室 : 实验组别: 同组人员:实验时间:年月日评定成绩:审阅教师: 一、实验目的 二、实验原理 三、预习思考题 1、下图中的两个电路在实际工程中经常用到,其中反相器为 74LS04,电路中的电阻起到了保证输出电平的作用。分析电路原理,并根据器件的直流特性计算电阻值的取值范围。

N 个 N 个 (a (b 答:①电路 (a使用条件是驱动门电路固定输出为低电平 ②电路 (b使用条件是驱动门电路固定输出为高电平 2、下图中的电阻起到了限制前一级输出电流的作用,根据器件的直流特性计算电阻值的取值范围。 N 个 答: 3、图 2.4.1 用上拉电阻抬高输出电平中, R 的取值必须根据器件的静态直流特性来计

算,试计算 R 的取值范围。 5 V 图 2.4.1 用上拉电阻抬高输出电平 答: 4、图 2.4.3(a中 OC 外接上拉电阻的值必须取的合适,试计算在这个电路中 R 的取值范围。 (a OC 门做驱动 答:

5、下图中 A 、 B 、 C 三个信号经过不同的传输路径传送到与门的输入端,其中计数器为顺序循环计数, 即从 000顺序计到 111, C 为高位, A 为低位。 A 、 B 、 C 的传输延分别为 9.5nS 、 7.1nS 和 2nS 。试分析这个电路在哪些情况下会出现竞争-冒险,产生的毛刺宽度分别是多少。 答: 四、实验内容 必做实验: A 2.5节实验:门电路静态特性的测试 内容 7. 用 OC 门实现三路信号分时传送的总线结构框图如图 2.5.4所示, 功能如表 2.5.2所示。 (注意 OC 门必须外接负载电阻和电源, E C 取 5V D 2 D 1 D 0 图 2.5.4 三路分时总线原理框图①查询相关器件的数据手册,计算 OC 门外接负载电阻的取值范围,选择适中的电阻 值,连接电路。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

2 实验二三态门实验

实验二、三态门实验 一、实验目的 1、掌握三态门逻辑功能和使用方法。 2、掌握用三态门构成总线的特点和方法。 3、初步学会用示波器测量简单的数字波形。 二、实验所用仪器和芯片 1、四二输入与非门74LS00 1片 2、三态输出的四总线缓冲门74LS125 1片 3、TEC-5实验系统 1台 4、示波器 1台 三、实验内容 1、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门的三态(高阻)输出、高电平输出、低电平输出的电压值。 同时测试74LS125三态输出时74LS00的输出值。 2、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态(高阻)输出、高电平输出、低电平输出的电压值。 同时测试74LS125三态输出时74LS00的输出值。

*3、用74LS125两个三态门输出构成一条总线。使两个控制端一个为低电平,另一个为高电平。一个三态门的输入接50kHz信号,另一个三态门的输入接 500KHz信号。用示波器观察三态门的输出。 四、实验提示 1、三态门74LS125的控制端C为低电平有效。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 五、实验报告要求 1、画出实验的逻辑电路图。 2、分析每个实验的实验现象。 3、分析实验1和实验2中三态门输出电压不同的原因。 *4、用三态门74LS125构成总线时,三态门输出应怎样连接?为什么在任何时刻,构成总线的三态门中只允许一个控制端为低电平,其余控制端应为高电平。

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

门电路逻辑功能及测试实验报告记录

门电路逻辑功能及测试实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

深圳大学实验报告实验课程名称:数字电路实验 实验项目名称:门电路逻辑功能及测试学院:信息工程学院 报告人:许泽鑫学号:201 班级:2班同组人: 指导教师:张志朋老师 实验时间:2016-9-27 实验报告提交时间:2016-10-11

一、实验目的 (1)熟悉门电路逻辑功能,并掌握常用的逻辑电路功能测试方法。 (2)熟悉RXS-1B数字电路实验箱。 二、方法、步骤 1.实验仪器及材料 1)RXS-1B数字电路实验箱 2)万用表 3)器件 74LS00四2输入与非门1片 74LS86四2输入异或门1片 2.预习要求 1)阅读数字电子技术实验指南,懂得数字电子技术实验要求和实验方 法。 2)复习门电路工作原理及相应逻辑表达式。 3)熟悉所用集成电路的外引线排列图,了解各引出脚的功能。 4)学习RXB-1B数字电路实验箱使用方法。 3.说明 用以实现基本逻辑关系的电子电路通称为门电路。常用的门电路在逻辑功能上有非门、与门、或门、与非门、或非门、与或非门、异或门等几种。 非逻辑关系:Y=A 与逻辑关系:Y=A B + 或逻辑关系:Y=A B 与非逻辑关系:Y=A B + 或非逻辑关系:Y=A B + 与或非逻辑关系:Y=A B C D ⊕ 异或逻辑关系:Y=A B

三、实验过程及内容 任务一:异或门逻辑功能测试 集成电路74LS86是一片四2输入异或门电路,逻辑关系式为1Y=1A ⊕1B ,2Y=2A ⊕2B , 3Y=3A ⊕3B ,4Y=4A ⊕4B ,其外引线排列图如图1.3.1所示。它的1、2、4、5、9、10、12、13号引脚为输入端1A 、1B 、2A 、2B 、3A 、3B 、4A 、4B ,3、6、8、11号引脚为输出端1Y 、2Y 、3Y 、4Y ,7号引脚为地,14号引脚为电源+5V 。 (1)将一片四2输入异或门芯片74LS86插入RXB-1B 数字电路实验箱的任意14引脚的IC 空插座中。 (2)按图1.3.2接线测试其逻辑功能。芯片74LS86的输入端1、2、4、5号引脚分别接至数字电路实验箱的任意4个电平开关的插孔,输出端3、6、8分别接至数字电路实验箱的电平显示器的任意3个发光二极管的插孔。14号引脚+5V 接至数字电路实验箱的+5V 电源的“+5V ”插孔,7号引脚接至数字电路实验箱的+5V 电源的“⊥”插孔。 (3)将电平开关按表1.3.1设置,观察输出端A 、B 、Y 所连接的电平显示器的发光二极管的状态,测量输出端Y 的电压值。发光二极管亮表示输出为高电平(H ),发光二极管不亮表示输出为低电平(L )。把实验结果填入表1.3.1中。 图1.3.1 四2输入异或门74LS86外引线排列图 1A 1B 1Y 2A 2B 74LS86 V CC 4B 4A 4Y 3B 4A 3Y 1 2 3 4 5 14 13 12 11

数字逻辑实验报告

数字逻辑实验报告 实验一器件认知及基本逻辑门逻辑功能测试 一、实验目的 1. 认知逻辑器件的外形和引脚的排列。 2.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 3.熟悉TTL中、小规模集成电路的使用方法。 4. 对逻辑器件的逻辑功能进行测试和验证。 5. 掌握"Dais数字电路实验系统”仪器的使用方法。 二、实验所用器件和设备 1.二输入四与非门74LS00 1片 2.二输入四或非门74LS28 1片 3. 二输入四异或门74LS86 1片 4.Dais数字电路实验系统1台 5.万用表1个 三、实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2. 测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验提示. 1. 将被测器件插人实验台上的集成块插座中。 2.将器件的引脚7与“地(GND)”连接,将器件的14引脚与+5V连接。 3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平为“0”或为“1”。 4. 将被测器件的输出引脚与实验台上的电平指示灯(即发光二极管)连接。指示 灯亮表示输出电平为“1”,指示灯灭表示输出电平为“0”。 五、实验报告要求 1.画出三个实验的接线图。 2.用真值表表示出实验结果。 实验二用全与非门构成全加器 一、实验目的 1. 掌握全加器的逻辑功能和真值表。

2.掌握用全与非门构成全加器的方法。 二、实验所用器件和设备 1. 二输入四与非门74LS00 2片 2.三输入三与非门74LS10 1片 3.六反相器74LS04 1片 4. Dais数字电路实验系统1台 三.实验内容 1.画出全加器的电路图。 2.全与非门构成全加器,并搭出电路。 四.实验提示 二输入四与非门74LS00 中的任一个与非门二输入端连在一起时,此与非门即可当成非门使用。 五.实验报告要求 1.用真值表形式说明全加器的功能。 2.画出用全与非门构成的全加器的电路图。 实验三三态门实验 一、实验目的 I.掌握三态门逻辑功能和使用方法。 2.掌握用三态门构成总线的特点和方法。 二、实验所用器件和设备 1.四2输入正与非门74LS00 1片 2.三态输出的四总线缓冲门74LS125 1片 3.万用表l个 4.Dais数字电路实验系统1台 三、实验内容 1.74LS125三态门的输出负载为74LS00一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

实验三集电极开路门和三态门教案

实验二 组合逻辑电路 一、 实验目的 了解组合电路的设计方法;尝试用与非门组成简单组合电路。 二、 实验原理 根据一定的逻辑功能设计出的逻辑电路,并不是唯一的,有繁有简。由于生产和使用与非门集成电路较多,所以,把一般函数式变换成只用与非门就能实现的函数式具有重要意义。这种函数式应包含逻辑乘及逻辑非运算,而且每个逻辑乘法之上必须有逻辑非运算(即与非-与非表达式。) 逻辑函数可以用真值表、逻辑表达式、卡诺图、逻辑图和波形图表示。它们之间有一定的换算规律。 三、 实验仪器与器件: 1、 数字实验箱 一台; 2、集成电路与非门74LS00一块。 四、实验内容 (1)利用与非门组成与门电路; (2)利用与非门组成或门电路;(3)利用四个与非门组成异或门电路。 要求:(1)写出各个门电路的与非—与非表达式; 74LS00逻辑图

(2)画出逻辑电路,标出管脚; (3)自拟实验步骤,设计表格,测试电路的逻辑功能。 五、研究问题: 如何把与非门作为非门使用?

实验三集电极开路门和三态门 一、实验目的 掌握集电极开路门(OC门)和三态门(TSL门)的功能和应用。 二、实验设备与器件 1、数字电路实验箱一台; 2、OC门74LS22、TSL门74LS126各一块。 三、实验原理 在数字系统中,有时需要把两个或两个以上集成逻辑门的输出端直接并接在一起完成一定的逻辑功能,但普通的TTL门电路不允许将它们的输出端直接并联使用。而OC门和TSL 门是两种特殊的TTL门电路,它们允许将输出端并接在一起使用。 OC门与普通TTL与非门的区别仅是输出管的集电极是开路(悬空)的,使用时必须外接负载电阻Rc至电源。 三态门是在普通门电路的基础上,附加使能控制端和控制电路构成。除了通常的高、低电平两种低阻输出状态外,还有第三种输出状态(禁止状态),此时电路与负载之间相当开路。其主要作用是实现总线传输。 四、电路介绍 集电极开路门(OC门):采用74SL22,集电极开路四输入二与非门。 三态门(TSL门):采用74LS126,三态输出四总线缓冲器。 A为输入端,Y是输出端,G是工作方式控制端(也称禁止端或使能端)。 G=1时为正常工作状态,实现Y=A的逻辑功能;G=0时为禁止状态,输出端呈现高阻状态。

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

稳压电源实验报告

可调数显稳压电源 一实验目的 1学习直流稳压电源方面的基础知识; 2完成可调数显稳压电源的方案选择; 3完成可调数显稳压电源的软硬件设计、开发及调试。 二实验仪器与设备 1.数字示波器 2数字万用表 3仿真软件Multisim 4模拟电子技术实验箱 5 数字电子技术实验箱 三实验原理与实现方案 1 小功率直流稳压电源的基本原理 稳压电源的输出电压,是相对稳定而并非绝对不变的,它只是变化很小,小到可以允许的范围之内。产生这些变化的原因:一是因电网输入电压不稳定所导致。二是因为供电对象而引起的,即出负载变化形成的。三是由稳压电源本身条件促成的。第四,元器件因受温度、湿度等环境影响而改变性能也会影响稳压电源输出不稳。一般地,稳压电源电路的设计首先要考虑前两种因素,并针对这两种因素设计稳压电源中放大器的放大倍数等。在选择元器件时,就要重点考虑第三个因素。在设计高精度稳压电源时,必须要高度重视第四个因素。因为在高稳定度电源中,温度系数和漂移这两个关键的技术指标的好坏都是由这个因素所决定的。 一般直流稳压电源是由电源变压器、整流电路、滤波电路和稳压电路四个部分组成如图1所示: 图1直流稳压电源的基本组成 电源变压器是将交流电网220V的电压变为所需要的交流电压值。整流电路的作用是将交流电压变成单方向脉动的直流电压;滤波电路将脉动直流中的高次谐波成分滤除,减少谐波成分,增加直流成分;稳压电路采用负反馈技术,进一步稳定整流后的直流电压。 2 可调数显稳压电源的实现方案 (1)整体方案 经过系统地分析与比较,我们采用以下方案来实现可调数显稳压电源系统的设计:该系统主要由变压器、整流电路、滤波电路、可调稳压模块和数显模块等组成,其中在数显模块上分别采用由ADC0809与数字芯片搭建的数字电路来实现。对于各个模块的设计与分析,我们将在以下的报告中给出详细的说明。 (2)整流电路 整流电路利用二极管的单向导电作用将交流电压变成单方向脉动的直流电压,本实验采用单向桥式整流电路。单向桥式整流是四个二极管接成的电桥,其输出电压脉动较小,正负半周均有电流流过,电源利用率高,输出的直流电压比较高。所以桥式整流电路中变压器的效率较高,在同等功率容量条件下,体积可以小一些,其总体性能优于单相半波和单相全波

实验四 三态门

第四次实验报告 实验内容: 1、TTL三态门的逻辑功能 2、TTL三态门的电压输出时间 3、74LS125的电压传输特性曲线 实验仪器与元器件: 自制硬件基础电路实验箱、双踪示波器、数字万用表、74LS125 实验预习: 1、三态门(TS) 所谓三态是指输出端而言,普通的TTL与非门其输出极的两个晶体管T4、T5始终保持一个导通,另一个截止的推拉状态。T4导通,T5截止,输出高电平Y=1;T4截止,T5导通,输出低电平,Y=0。三态门除了上述两种状态外,又出现了T4、T5同时截止的第三种状态。因为晶体管截止时c、e之间是无穷大阻抗,输出端Y对地、对电源(v cc)阻抗无穷大。因此这第三种状态也称高阻状态。 三态门有三种输出状态:高电平输出、低电平输出和高阻输出状态。常见的三态门有控制端高电平有效和低电平有效两种类型。三态输出门除了有多输入三态与非门,还经常做成单输入、单输出的总线驱动器,并且输入与输出有同相和反相两种类型。三态门主要用途之一是实现总线传输,各三态门输出端可以并联使用一个传输通道,以选通的方式传送多路信息。使用时注意输出端并接的三态门只能有一个处于工作状态(E=0)。其余必须处于高阻状态(E=1)。三态门驱动

能力强,开关速度快,在中大规模集成电路中广泛采用三态门输出电路,作为计算机和外围电路的接口电路。 如图为三态门的电路图: 2、74LS125就是单输入、单输出的控制端低电平有效的同相三态输出门。即E=0时,Y=A;E=1时为高阻态。控制信号可在E N处加入,也可在处加入: E N=0,=1,则C=0,v B1=0.9V,v c2=0.9V v B4=v c2=0.9V,T4截止(T4导通的电位v B4>1.4V) v B1=0.9V,T5截止,输出端Y为高阻状态。 E N=1,=0,C=1,对与非门另两个A、B输入端无影响,为正常的与非门电路。当A=B=1,则T2、T5导通,v c2=1.0V(前已分析)。二极管D处于反相截止状态(因为其阳极电压v c2=1.0V,小于阴极C点电位v IH=3.4V),在电路中不起作用。 若A、B中有一个为0,则T2、T5截止,由于v c2=v IH+0.7=4.1V,

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

FPGA实验报告

南京理工大学泰州科技学院FPGA系统设计实验报告 教材名称:FPGA系统设计与应用开发 指导教师:周莉莉 实验室:4401 学院(系):电子电气工程学院 专业班级:10电信(1)班 姓名:周根生朱守超 学号:1002040149 1002040150 实验学期:2013-2014学年第一学期 总评成绩:教师签字: 南京理工大学泰州科技学院 FPGA系统设计实验报告

目录 实验一Max+plusII原理图设计输入 (1) 实验二简单逻辑电路设计与仿真 (6) 实验三组合逻辑电路设计(一) (11) 实验四组合逻辑电路设计(二) (16) 实验五有限状态机的设计 (26) 实验六数字频率计 (32)

南京理工大学泰州科技学院FPGA系统设计实验报告 课程: FPGA系统设计班级:10电信1班姓名:周根生朱守超学号:1002040149 1002040150 指导教师:周莉莉实验日期: 实验题目:Max+plusII原理图设计输入成绩: 一、设计任务 采用原理图设计输入法,设计一个具有四舍五入功能的电路,其输入为4位二进制数,要求输入大于或等于0101时,电路输出为高电平,小于0101时电路输出为低电平。 二、设计过程 根据设计要求列出四舍五入的真值表,如图1.1所示。 图1.1 四舍五入真值表 由图1.1可得化简的表达式为OUT=A+BD+BC,由逻辑表达式可知,要设计的电路图有四个输入端(A,B,C,D)和一个输出端OUT,整个电路由两个2输入端的与门和一个3输入的或门组成。 启动MAX+plusII,新建Graphic Editor file文件,后缀为.gdf。在编辑界面空白处双击左键,出现输入元件对话框如图1.2所示,在Symbol Name栏中直接输入元件的符号名OK,输入端(input),输出端(output),连接电路如图1.3所示。

三态门

三态门实验报告 实验目的: 1,测试三态门静态逻辑功能; 2.测试三态门动态逻辑功能; 3.测试三态门信号传输延迟时间; 4.测试三态门电压传输特性曲线。 实验器材: 74LS125 实验箱万用表信号发生器、示波器。 实验内容: (1).测试三态门静态逻辑功能。 实验步骤: 1.连线。7接地,14接5V的电压,1、2接电平按键。 2.用万用表测试2的电压、3的电压。改变1的开关,重复测量步骤。 3.记录数据,整理分析。 (2)测试三态门动态逻辑功能。 1. ch1测量三态门的2的输入波形,ch2三态门的3的输出波形,2 接入cp。其他的保持不变。 2. 调试,直至出现正确合适的波形为止。保存波形。 (3)测试三态门信号传输延迟时间; 1.将2接入的是5KHz的脉冲,其他的保持不变。 调节出现正确的波形。2.保存波形,记录脉冲上升沿的延迟时间和脉冲下降沿的延迟时间。

3.记录数据,整理分析。 (4)测试三态门电压传输特性。 1. 2接入的是信号发生器的三角波,其他的保持不变。实验结果显示: (1)测试三态门的静态逻辑功能。、 (2)测试三态门的动态逻辑功能 En=0时的波形如下: En=1时的波形:

(3)测量三态门的信号传输 信号上升沿的传输延迟曲线如下: 信号下降沿传输特性曲线: (4)三态门电压传输特性曲线:

实验数据记录及其分析: (1)测试三态门静态逻辑功能; 数据分析结论:三态门的静态逻辑功能如下: (2)测试三态门的动态逻辑功能

数据分析:三态门在使能en=0时逻辑功能正常,在en=1时处于高阻态。 (3)测试信号传输的延迟时间 (4)测试三态门电压传输曲线 实验总结: 实验中起初自己准备的资料未能很好的利用,对实验原理和实验结果的认识及其分析不够到位。 实验评价: 此次实验不太顺利。

三态门电路实验报告

实验二(1)三态门电路设计 班级姓名学号 一、实验目的 熟悉QuartusII仿真软件的基本操作,并用VHDL/Verilog语言设计一个三态门。 二、实验内容 1、熟悉QuartusII软件的基本操作,了解各种设计输入方法(原理图设计、文本设计、波形设计) 2、用VHDL语言设计一个三态门,最终在FPGA芯片上编程指令译码器,并验证逻辑实现。 三、实验方法 1、实验方法: 采用基于FPGA进行数字逻辑电路设计的方法。 采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。 2、实验步骤: 1、新建,编写源代码。 (1).选择保存项和芯片类型:【File】-【new project wizard】-【next】(设置文件路径+设 置project name为stm)-【next】(设置文件名zlym.vhd—在【add】)-【properties】 (type=AHDL)-【next】(family=FLEX10K;name=EPF10K10TI144-4)-【next】-【finish】 (2).新建:【file】-【new】(第二个AHDL File)-【OK】 2、写好源代码,保存文件(stm.vhd)。 3、编译与调试。确定源代码文件为当前工程文件,点击【processing】-【start compilation】进行文件编译。编译结果有一个警告,文件编译成功。 4、波形仿真及验证。新建一个vector waveform file。按照程序所述插入EN,A以及dataout)四个节点(EN,A为输入节点,dataout为输出节点)。(操作为:右击-【insert】-【insert node or bus】-【node finder】(pins=all;【list】)-【>>】-【ok】-【ok】)。任意设置EN,A的输入波形…点击保存按钮保存。然后【start simulation】,出name dataout的输出图。 5、时序仿真。暂时不知道什么是时序仿真 6、FPGA芯片编程及验证 选择pins,连接计算机到实验箱,操作。 四、实验过程 3、编译过程 a)源代码如图(VHDL设计)

基本门电路实验报告处理

J CP K S D R D Q Q S D R D D CP Q Q 43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016、10、7 专业班级: 姓 名: 学 号: 一、 实验目的 1、了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2、掌握门电路的设计方法。 3、验证J-K 触发器的逻辑功能。 4、掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1、 用与非门(00)实现与门逻辑关系:F=AB 2、 异或门(86): (二):门电路的设计(二选一) 1、用74LS00与74LS86 设计半加器、 2、用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00与 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)与D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门与J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='

&A B &F 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) 输入 与门 异或门 A B F U o(V) F 0 0 0 0、132 0 0 1 0 0、132 1 1 0 0 0、132 1 1 1 1 3、519 0 (2)半加器实验结果 (3) 表决电路结果 A n B n n S ' n C ' 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 =1A B F

相关文档
最新文档