西北工业大学数电实验报告材料二Quartus和Multisim

西北工业大学数电实验报告材料二Quartus和Multisim
西北工业大学数电实验报告材料二Quartus和Multisim

数字电子技术基础

实验报告

题目:实验二组合电路实验设计

小组成员:

小组成员:

实验二组合电路实验设计

一、实验目的

1.通过实验的方法学习数据选择器的电路结构和特点

2.掌握数据选择器的逻辑功能及其基本应用

3.通过实验的方法学习74LS138的电路结构和特点

4.掌握74LS138的逻辑功能及其基本应用

二、实验要求

要求一:参照参考容,调用 MAXPLUSII 库中的组合逻辑器件74153双四数据选择器和7400与非门,用原理图输入方法实现一位全加器。(MULTISIM仿真和 FPGA 实现)

要求二:参照参考容,调用 MAXPLUSII 库中的组合逻辑器件74138三线八线译码器和7420与非门,用原理图输入方法实现一位全减器。(MULTISIM仿真和 FPGA 实现)

要求三:参照参考容,调用 MAXPLUSII 库中的组合逻辑器件74138三线八线译码器和门电路,用原理图输入方法实现一个两位二进制数值比较器。(MULTISIM 仿真和 FPGA 实现)

三、实验设备

(1)电脑一台;

(2)数字电路实验箱;

(3)数据线一根。

四、实验原理

Multisim 的模拟电路编程原理

Quartus II的模拟电路编译、波形仿真及目标器件写入的基本应用

数字电路逻辑表达式转换的基本知识

数据选择器和译码器的电路结构及其特点

实验开发板的基本使用知识

五、实验容

1、调用 MAXPLUSII 库中的组合逻辑器件74153双四数据选择器和7400与非门,用原理图输入方法实现一位全加器。(MULTISIM仿真和 FPGA 实现)

(1)构建真值表、卡诺图及降维卡诺图真值表:

真值表:

S1卡诺图:

C0卡诺图:

降维卡诺图:

(2)逻辑表达式变换过程

(3)原理图(Multisim和QuartusII中绘制的原理图):

Quartus II 中原理图

西北工业大学数电实验报告一Quartus和 Multisim

数字电子技术基础 实验报告 题目:实验一TTL集成门电路逻辑变换 小组成员: 小组成员:

实验一TTL集成门电路逻辑变换 一、实验目的 通过完成所要求的实验内容,来熟练掌握运用TTL集成门电路逻辑变换的基本原理,充分了解 Multisim 软件的仿真技术和QuartusII 软件的绘制原理图、编译程序、波形仿真等功能及将程序写入开发板的全体流程步骤,深入学习数字电路在实践运用中所面临的场景,进而为后续对数字电路更深层次的使用及实验打下良好铺垫。 二、实验要求 要求一:测试与非门逻辑功能。用MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求二:用与非门实现“与”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求三:用与非门实现“或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求四:用与非门实现“异或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求五:用门电路设计实现一位全加器。用MULTISIM软件仿真后,再用 FPGA 实现电路测试逻辑功能 三、实验设备 (1)电脑一台; (2)数字电路实验箱; (3)数据线一根。

四、实验原理 Multisim 的模拟电路编程原理 Quartus II的模拟电路编译、波形仿真及目标器件写入的基本应用数字电路逻辑表达式转换的基本知识 五、实验内容 1、(要求一) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真: (4)记录电路输出结果 2、(要求二) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真:

西工大信号与系统-实验1

西北工业大学 《信号与系统》实验报告 西北工业大学

a. 上图分别是0N或者M

b. 以上是代码,下图是运行结果

由上图可看出,图上一共有3个唯一的信号。当k=1和k=6的时候的图像是一样的。因为档k= 1时,wk=(2*PI)/5,k=6时,wk=2PI+(2*PI)/5,即w6 = 2PI+w1,因为sin函数的周期是2PI,所以他俩的图像是一样的 c.代码如下:

图像如下: 可得出结论:如果2*pi/w0不是有理数,则该信号不是周期的 1.3离散时间信号时间变量的变换 a. nx=[zeros(1,3) 2 0 1 -1 3 zeros(1,3)];图像如下: b. 代码如下: x=zeros(1,11);

x(4)=2; x(6)=1; x(7)=-1; x(8)=3; n=-3:7; n1=n-2; n2=n+1; n3=-n; n4=-n+1; y1=x; y2=x; y3=x; y4=x; c: 代码和结果如下结果 下图是结果图

Multisim实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器静态工作点的仿真方法及其对放大器性能的影响 3、学习放大器静态工作点、放大电压倍数、输入电阻、输出电阻的仿真方法,了解共射极 电路的特性 二、虚拟实验仪器及器材 双踪示波器、信号发生器、交流毫伏表、数字万用表 三、实验步骤 4、静态数据仿真 电路图如下:

当滑动变阻器阻值为最大值的10%时,万用表示数为。 仿真得到三处节点电压如下: 则记录数据,填入下表: 仿真数据(对地数据)单位:V 计算数据 单位:V 基极V (3) 集电极V (6) 发射级V (7) Vbe Vce Rp 10K Ω 5、 动态仿真一 R151kΩ R2 5.1kΩR3 R5 100kΩ Key=A 10 % V110mVrms 1000 Hz 0° V212 V C110μF C210μF C347μF 2Q1 2N2222A 3 R7100Ω8 1 XSC1 A B Ext Trig + + _ _ + _ 746R61.5kΩ 5

(1)单击仪器表工具栏中的第四个(即示波器Oscilloscope),放置如图所示,并且连接电路。 (注意:示波器分为两个通道,每个通道有+和-,连接时只需要连接+即可,示波器默认的地已经接好。观察波形图时会出现不知道哪个波形是哪个通道的,解决方法是更改连接的导线颜色,即:右键单击导线,弹出,单击wire color,可以更改颜色,同时示波器中波形颜色也随之改变) (2)右键V1,出现properties,单击,出现 对话框,把voltage的数据改为10mV,Frequency的数据改为1KHz,确定。 (3)单击工具栏中运行按钮,便可以进行数据仿真。 (4) A B Ext Trig + + _ _+_

西工大数字集成电路实验报告_实验2反相器代码

1. 2. 计算出这个电路的V OH V OL 及V IH V IL 。(计算可先排除速度饱和的 可能) V in =0时,V OH = V in=时,假设NMOS 工作在临界饱和区: A I V R I v V V V A I V V L W K I D out L D T in out D T in D 61142`1073.55.207.243.05.21039.7)(2/--?=?+=?????=-=-=?=?-?=这样的话根据 D D I I <1,器件实际工作在线性区 ?????????=+=--=v V V R I V V V V L W K I in OL L D OL OL T in D 5.25.2]2)[(2` 6`10115-?=K 将, 5.0/5.1=L W ,43.0=T V 代入kohm R L 75= 解得: =OL V 由图得:V OH =, V OL =. 当out in V V =时,NMOS 工作在饱和区 ?????+=-?=out L D T in D V R I V V L W K I 5.2)(2/2`

反相器阈值电压===out in M V V V 此时 -6.8978)43.0(875.255.2,)43.0(9375.125.22=--== --=in Vin Vout in out V d d g V V ???????=--==+=0.5458||0.9082||g V V V V g V V V M OH M IL M M IH 由图得:V IH =, V IL =. SP 文件: .TITLE CMOS INVERTER .options probe .options tnom=25 .options ingold=2 limpts=30000 method=gear .options lvltim=2 imax=20 gmindc= .protect .lib'C:\synopsys\' TT .unprotect .global vdd Mn out in 0 0 NMOS W= L= *(工艺中要求尺寸最大) RL OUT VDD 75k VDD VDD 0 VIN IN 0 0

Multisim仿真实验报告

Multisim仿真实验报告 实验课程:数字电子技术 实验名称:Multisim仿真实验 姓名:戴梦婷 学号: 13291027 班级:电气1302班 2015年6月11日

实验一五人表决电路的设计 一、实验目的 1、掌握组合逻辑电路——五人表决电路的设计方法; 2、复习典型组合逻辑电路的工作原理和使用方法; 3、提高集成门电路的综合应用能力; 4、学会调试Multisim仿真软件,并实现五人表决电路功能。 二、实验器件 74LS151两片、74LS32一片、74LS04一片、单刀双掷开关5个、+5V直流电源1个、地线1根、信号灯1个、导线若干。 三、实验项目 设计一个五人表决电路。在三人及以上同意时输出信号灯亮,否则灯灭,用8选1数据选择器74LS151实现,通过Multisim仿真软件实现。 四、实验原理 1、输入变量:A B C D E,输出:F;

3、逻辑表达式 F= ABCDE+ABCDE+ABCDE+ABCDE+ ABCDE+ ABCDE+ABC DE+ABCDE+ ABCDE+ ABCDE+ABCDE+ABCDE+ ABCDE+ABCDE+ABCDE+ABCDE =ABCDE+ ABCDE+ABCDE+ ABCD+ABCDE+ABCDE+ABCD+ABCDE+ ABCD+ABCD+ABCD 4、对比16选1逻辑表达式,令A3=A,A2=B,A1=C,A0=D,D3=D5=D6=D9=D10=D12=E, D 7=D 11 =D 13 =D 14 =D 15 =1,D =D 1 =D 2 =D 4 =D 8 =0; 5、用74LS151拓展构成16选1数据选择器。 五、实验成果 用单刀双掷开关制成表决器,同意开关打到上线,否则打到下线。当无人同意时,信号指示灯不亮,如下图:

基于multisim的晶闸管交流电路仿真实验分析报告

基于multisim的晶闸管交流电路仿真实验报告

————————————————————————————————作者:————————————————————————————————日期:

自动化(院、系)自动化专业112 班组电力电子技术课 学号21 姓名易伟雄实验日期2013.11.24 教师评定 实验一、基于Multisim的晶闸管交流电路仿真实验 一、实验目的 (1)加深理解单相桥式半控整流电路的工作原理。 (2)了解晶闸管的导通条件和脉冲信号的参数设置。 二、实验内容 2.1理论分析 在单相桥式半控整流阻感负载电路中,假设负载中电感很大,且电路已工作于稳态。在u2正半周,触发角α处给晶闸管VT1加触发脉冲,u2经VT1和VD4向负载供电。u2过零变负时,因电感作用使电流连续,VT1继续导通。但因a点电位低于b点电位,使得电流从VD4转移至VD2,VD4关断,电流不再流经变压器二次绕组,而是由VT1和VD2续流。此阶段,忽略器件的通态压降,则ud=0,不会像全控桥电路那样出现ud为负的情况。 在u2负半周触发角α时刻触发VT3,VT3导通,则向VT1加反压使之关断,u2经VT3和VD2向负载供电。u2过零变正时,VD4导通,VD2关断。VT3和VD4续流,ud又为零。此后重复以上过程。 2.2仿真设计

(院、系)专业班组课学号姓名实验日期教师评定 触发脉冲的参数设计如下图

(院、系)专业班组课学号姓名实验日期教师评定 2.3仿真结果 当开关S1打开时,仿真结果如下图

(院、系)专业班组课学号姓名实验日期教师评定 三、实验小结与改进 此次实验在进行得过程中遇到了很多的问题,例如:触发脉冲参数的设置,元器件的选择等其中。还有一个问题一直困扰着我,那就是为什么仿真老是报错。后来,通过不断在实验中的调试发现,这是因为一些元器件的参数设置过小,导致调试出错。总的来说,这次实验发现了很多问题,但在反复的调试下,最后我还是完成了实验。同时,也让我认识到实践比理论更难掌握。通过不断的发现问题,然后逐一解决问题,最后得出自己的结论,我想实验的乐趣就在于此吧。 而对于当开关S1打开时的实验结果,这是因为出现了失控现象。我从书中发现:当一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半波,即半周期ud 为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形 另外,在实验过程中,我们如果进行一些改进:电路在实际应用中可以加设续流二极管,以避免可能发生的失控现象。实际运行中,若无续流二极管,则当α突然增大至180度或触发脉冲丢失时,会发生一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半,即半周期ud为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形。有二极管时,续流过程由二极管完成,在续流阶段晶闸管关断,这就避免了某一个晶闸管持续导通从而导致失控的想象。同时续流期间导电回路中只有一个管压降,少了一个管压降,有利于降低损耗。

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

信号与系统答案 西北工业大学 段哲民 信号与系统1-3章答案

第一章 习 题 1-1 画出下列各信号的波形:(1) f 1(t)=(2-e -t )U(t); (2) f 2(t)=e -t cos10πt×[U(t -1)-U(t-2)]。 答案 (1))(1t f 的波形如图1.1(a )所示. (2) 因t π10cos 的周期 s T 2.0102== ππ ,故)(2t f 的波形如图题1.1(b)所示. 1-2 已知各信号的波形如图题1-2所示,试写出它们各自的函数式。 答案 )1()]1()([)(1-+--=t u t u t u t t f )]1()()[1()(2----=t u t u t t f )]3()2()[2()(3----=t u t u t t f 1-3 写出图题1-3所示各信号的函数表达式。

答案 2 002121 )2(21121)2(21 )(1≤≤≤≤-?????+-=+-+=+=t t t t t t t f )2()1()()(2--+=t u t u t u t f )] 2()2([2sin )(3--+-=t u t u t t f π )3(2)2(4)1(3)1(2)2()(4-+---++-+=t u t u t u t u t u t f 1-4 画出下列各信号的波形:(1) f 1(t)=U(t 2-1); (2) f 2(t)=(t-1)U(t 2-1); (3) f 3(t)=U(t 2-5t+6); (4)f 4(t)=U(sinπt)。 答案 (1) )1()1()(1--+-=t u t u t f ,其波形如图题1.4(a)所示.

multisim电路仿真实验报告

模拟电子技术课程 multisim 仿真 一、目的 2.19 利用multisim 分析图P2.5所示电路中b R 、c R 和晶体管参数变化对Q 点、u A ? 、i R 、o R 和om U 的影响。 二、仿真电路 晶体管采用虚拟晶体管,12V C C V =。 1、当5c R k =Ω, 510b R k =Ω和1b R M =Ω时电路图如下(图1): 图 1 2、当510b R k =Ω,5c R k =Ω和10c R k =Ω时电路图如下(图2)

图 2 3、当1b R M =Ω时, 5c R k =Ω和10c R k =Ω时的电路图如下(图3) 图 3 4、当510b R k =Ω,5c R k =Ω时,β=80,和β=100时的电路图如下(图4)

图 4 三、仿真内容 1. 当5c R k =Ω时,分别测量510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 。由于输出电压很小,为1mV ,输出电压不失真,故可从万用表直流电压(为平均值)档读出静态管压降C E Q U 。从示波器可读出输出电压的峰值。 2. 当510b R k =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 3. 当1b R M =Ω时,分别测量5c R k =Ω和10c R k =Ω时的C E Q U 和u A ? 。 4. 当510b R k =Ω,5c R k =Ω时,分别测量β=80,和β=100时的C E Q U 和u A ? 。 四、仿真结果 1、当5c R k =Ω,510b R k =Ω和1b R M =Ω时的C E Q U 和u A ? 仿真结果如下表(表1 仿真数据)

西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算 1、 设计译码器并估算延迟 设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。 译码器的结构可参考典型的4-16译码器 译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中) ① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解: 96332,10int =?==ext g C C C ,9.696/10F ==? 假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门): 81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。 因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。 所以: . 36.5136.5,68.2236.5, 36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68 1036.5=?; 第二级尺寸为956.1768.27.6=?; 第三级尺寸为96244.9636.5956.17≈=?。 故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++= ② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==, 故36.5,68.2,32.2,32.24321====f f f f 所以: 第一级尺寸为:()9.2832.210=?; 第二级尺寸为:728.632.29.2=?; 第三级尺寸为:03.1868.2728.6=?; 第四级尺寸为:65.9636.503.18=? 正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++= 2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实 际电路,并仿真1题中第一问的路径延迟。 设计出实际电路如下:

Multisim实验报告

实验一 单级放大电路 一、实验目得 1、 熟悉m ultisi m软件得使用方法 2、 掌握放大器静态工作点得仿真方法及其对放大器性能得影响 3、 学习放大器静态工作点、放大电压倍数、输入电阻、输出电阻得仿真方法,了解共射极电 路得特性 二、虚拟实验仪器及器材 双踪示波器、信号发生器、交流毫伏表、数字万用表 三、实验步骤 4、 静态数据仿真 电路图如下: 当滑动变阻器阻值为最大值得10%时,万用表示数为2、204V 。 R151kΩ R25.1kΩR320kΩ R41.8kΩ R5 100kΩ Key=A 10 % R61.5kΩ V110mVrms 1000 Hz 0° C110μF C210μF C347μF 2Q1 2N2222A 3 R7 100Ω8 1 5 64XMM1 7

仿真得到三处节点电压如下: 仿真数据(对地数据)单位:V 计算数据 单位:V 基极V(3) 集电极V(6) 发射级V(7) Vb e V ce Rp 2。83387 6、12673 2。20436 0.6295 1 3。92237 10K Ω 5、 动态仿真一 (1)单击仪器表工具栏中得第四个(即示波器Oscilloscope),放置如图所示,并且连接电路。 (注意:示波器分为两个通道,每个通道有+与-,连接时只需要连接+即可,示波器默认得地已经接好。观察波形图时会出现不知道哪个波形就是哪个通道得,解决方法就是更改连接得导线颜色,即:右键单击导线,弹出,单击wire col or,可以更改颜色,同时示波器中波形颜色也随之改变) (2)右键V 1,出现pro per ties,单击,出现 R151kΩ R25.1kΩR3 20kΩ R41.8kΩ R5 100kΩ Key=A 10 % V110mVrms 1000 Hz 0° V212 V C110μF C210μF C347μF 2Q1 2N2222A 3 R7100Ω8 1 XSC1 A B Ext Trig + + _ _ + _ 746R61.5kΩ 5

西工大2020年4月《数字电子技术》作业机考参考答案

西工大2020年4月《数字电子技术》作业机考参考答案 试卷总分:100 得分:98 一、单选题(共25 道试题,共50 分) 完整答案:wangjiaofudao 1.{ A.{ B.{ C.{ D.{ 正确答案:A 2.十进制数27.5对应的二进制数是()。 A.11010.1 B.11011.1 C.10011.01 D.11001.01 正确答案:B 3.{ A.0,2,4,5,6,7,13 B.0,1,2,5,6,7,13 C.0,2,4,5,6,9,13 D.2,4,5,6,7,11,13 正确答案:A 4.设计10进制计数器,至少需要()级触发器。 A.10 B.4 C.5 D.2 正确答案:B 5.{ A. B. C. D. 正确答案:

6. A.AB B.1 C.0 D. B.{ C.{ D.{ 正确答案: 9.二进制数11001.1对应的八进制数是()。 A.62.1 B.62.4 C.31.4 D.31.1 正确答案: 10.{ A.4 B.5 C.6 D.7 正确答案: 11.{ A.0,2,3,5,6 B.4,6,7

C.4,5,6 D.0,1,2,3,5 正确答案: 12.{ A.{ B.{ C.{ D.{ 正确答案: 13.{ A.4 B.5 C.6 D.7 正确答案:B 14.四个变量的卡诺图中,逻辑上不相邻的一组最小项为()。 A. B. C. D. 正确答案: 15.{ A.15 B.9 C.8 D.7 正确答案: 16.{ A. B. C. D. 正确答案:

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

西工大数字电路实验报告——实验六

实验六:计数器及其应用 一. 实验目的: 1. 熟悉常用中规模计数器的逻辑功能。 2. 掌握时序电路一般设计方法。 3. 能够应用时序电路解决实际问题。 二. 实验设备: 数字电路试验箱,数字双踪示波器,函数信号发生器,74LS161,,74LS00及Multisim 仿真软件。 三. 实验原理: 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 目前,TTL 和CMOS 电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零同步置数四位二进制计数器74LS161。 74LS161为异步清零计数器,即端输入低电平,不受CP 控制,输出端立即全部为“0”。74LS161具有同步置数功能,在端无效时,端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入 , 即同步预置功能。和都无效,T 或P 任意一个为低电平,计数器处于保持状态,即输出状态不变。只有四个控制输入都为高电平,计数器才实现16加法计数。74LS161引脚排列如图(1)所示,表(1)为它的功能表。 图(1) r C r C D L 3210D D D D r C D L

1 0 ↑ D C B A 1 0 1 0 1 1 1 ↑ 表(1) 四.实验内容: 1.用74LS161和74LS00实现两种置数方式的十进制计数。 (1)异步置数法: 利用芯片的预置功能,可以实现M=10进制计数器,M=16-N=10,其中N=6(二 进制为0110)为预置数。将0110送到输入端D3D2D1D0,计数器开始从0110 开始计数,在CP脉冲下一直计数到1111,此时,从进位端Qc输出1,经 非门送到Ld端,呈置数状态。还可以将D3D2D1D0全部接地,当输出值为 1001(十进制的9)时,两个输出端Q3和Q0经与非门送到Ld端,呈置数状 态。第二种方式的电路连接如下图上半部分: (2)同步清零法: 当计数器计数到1010(十进制10)的时候,Q3和Q1经与非门输出,使复位 端Cr为0,从而计数器从执行计数变为复位状态,其电路连接如上图下半 部分: 2.用74LS161和74LS00实现两种级联方式24进制计数。 因为M=24>16,所以才用两片74LS161计数器级联实现24进制计数。使第一片 计数器连接成异步置数法的10进制计数器,当Q3和Q1经与非门输出0时,

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告 实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了

解共射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 10k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

西北工业大学_信号与线性系统实验报告_实验一、实验二

西北工业大学 信号与线性系统实验报告学号姓名:

实验一常用信号的分类与观察 1.实验内容 (1)观察常用信号的波形特点及其产生方法; (2)学会使用示波器对常用波形参数的测量; (3)掌握JH5004信号产生模块的操作; 2.实验过程 在下面实验中,按1.3节设置信号产生器的工作模式为11。 (1)指数信号观察: 通过信号选择键1,按1.3节设置A组输出为指数信号(此时信号输出指示灯为000000)。用示波器测量“信号A组”的输出信号。 观察指数信号的波形,并测量分析其对应的a、K参数。 (2)正弦信号观察: 通过信号选择键1,按1.3节设置A组输出为正弦信号(此时A组信号输出指示灯为000101)。用示波器测量“信号A组”的输出信号。 在示波器上观察正弦信号的波形,并测量分析其对应的振幅K、角频率 w。 (3)指数衰减正弦信号观察(正频率信号): 通过信号选择键1、按1.3节设置A组输出为指数衰减余弦信号(此时信号输出指示灯为000001),用示波器测量“信号A组”的输出信号。 通过信号选择键2、按1.3节设置B组输出为指数衰减正弦信号(此时信号输出指示灯为000010),用示波器测量“信号B组”的输出信号。 *分别用示波器的X、Y通道测量上述信号,并以X-Y方式进行观察,记录此时信号的波主持人:参与人:

形,并注意此时李沙育图形的旋转方向。(该实验可选做) 分析对信号参数的测量结果。 (4)*指数衰减正弦信号观察(负频率信号):(该实验可选做) 通过信号选择键1、按1.3节设置A组输出为指数衰减余弦信号(此时信号输出指示灯为000011),用示波器测量“信号A组”的输出信号。 通过信号选择键2、按1.3节设置B组输出为指数衰减正弦信号(此时信号输出指示灯为000100),用示波器测量“信号B组”的输出信号。 分别用示波器的X、Y通道测量上述信号,并以X-Y方式进行观察,记录此时信号的波形,并注意此时李沙育图形的旋转方向。 将测量结果与实验3所测结果进行比较。 (5)Sa(t)信号观察: 通过信号选择键1,按1.3节设置A组输出为Sa(t)信号(此时信号输出指示灯为000111),用示波器测量“信号A组”的输出信号。并通过示波器分析信号的参数。 (6)钟形信号(高斯函数)观察: 通过信号选择键1,按1.3节设置A组输出为钟形信号(此时信号输出指示灯为001000),用示波器测量“信号A组”的输出信号。并通过示波器分析信号的参数。 (7)脉冲信号观察: 通过信号选择键1,按1.3节设置A组输出为正负脉冲信号(此时信号输出指示灯为001101),并分析其特点。 3.实验数据 (1)指数信号观察: 波形图: 实验结果: 主持人:参与人:

multisim实验四实验报告

仲恺农业工程学院实验报告纸 __自动化学院_(院、系)__工业自动化__专业__144_班_电子线路计算机仿真课程 实验四:触发器及其应用仿真实验 一、实验目的 1.掌握集成JK触发器和D触发器的逻辑功能及其使用方法。 2.熟悉触发器之间相互转换的设计方法。 3.熟悉Multisim中逻辑分析仪的使用方法。 二、实验设备 PC机、Multisim仿真软件。 三、实验内容 1.双JK触发器74LS112逻辑功能测试 (1)创建电路 创建如下图所示电路,并设置电路参数。 图4-1 74LS112逻辑功能测试

(2)仿真测试 ①J1和J5分别74LS112的异步复位端输入,J2和J4分别为J、K数据端输入,J3为时钟端输入,X1和X2指示74LS112的输出端Q和Q_的状态。 ②异步置位和异步复位功能测试。 闭合仿真开关 拨动J1为“0”、J5为“1”,其他开关无论为何值,则74LS112被异步置“1”,指示灯X1亮,X2灭。理解异步置位的功能。 拨动J1为“1”、J5为“0”,其他开关无论为何值,则74LS112被异步清“0”,指示灯X1灭,X2灭,理解异步复位的功能。 ③74LS112逻辑功能测试 首先拨动J1和J5,设定触发器的初态。 接着,拨动J1和J5均为“1”,使74LS112处于触发器工作状态。 然后,拨动J2-J4,观察指示灯X1和X2亮灭的变化,尤其注意观察指示灯令亮灭变化发生的时刻,即J3由“1”到“0”变化的时刻,从而掌握下降沿触发的集成边沿JK触发器的逻辑功能。如下图所示: 图4-2 JK触发器逻辑功能测试

设定触发器的初态为Q = 1。将J2置1后,再将J3置1,可以观察到此时触发器状态并无改变。 将J3清0,观察到输出Q = 1。同样的,将J2清0,同时将J4置1,在J3由1->0的时刻,可以观察到Q = 0。 2.JK触发器构成T触发器 (1)创建电路 创建如图所示电路,并设置电路参数。 图4-3 74LS112构成T触发器 (2)仿真测试 ①闭合仿真开关。 ②打开示波器窗口,如图所示。 示波器窗口从上到下同时显示三个波形,即时钟输入信号(A通道)、Q端输出信号(B通道)及Q端输出信号(C通道)。由读数指针T1所在位置看出:当时钟输入信号下降沿到来时,触发器输出状态翻转,即Q由“0”变“1”,同时Q由“1”变“0”;由读数指针T2所在位置看出:当时钟输入信号上升沿到来时,触发器输出状态不变,即Q保持“1”,Q保持“0”。所以,每当时钟输入信号下降沿到来时,Q的状态就翻转,实现了下降沿触发的边沿T触发器的功能,同时也是二分频电路。

西工大数电实验报告——计数器及其应用

计数器及其应用 班级:03051001班 学号: 姓名: 同组成员: 一、 实验目的 1. 熟悉常用中规模计数器的逻辑功能。 2. 掌握二进制计数器和十进制计数器的工作原理和使用方法。 3. 运用集成计数器构成1/N 分频器。 二、 实验设备 数字电路试验箱、函数信号发生器、数字双踪示波器、74LS90 三、 实验原理 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 目前,TTL 和CMOS 电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零二-五-十进制异步计数器74LS90。 74LS90是一块二-五-十进制异步计数器,外形为双列直插,引脚排列如图(1)所示,逻辑符号如图(2)所示,图中的NC 表示此脚为空脚,不接线,它由四个主从JK 触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。在74LS90计数器电路中,设有专用置“0”端 ) 1(0R 、 ) 2(0R 和置“9”端 ) 1(9S 、 ) 2(9S 。其中 ) 1(0R 、 ) 2(0R 为两个异步清零端, ) 1(9S 、 ) 2(9S 为两个异步置9端,CP1、CP2为两个 时钟输入端,Q0~Q3为计数输出端,74LS90的功能表见表(1),由此可知:当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;时钟从CP2引入,Q3输出为五进制;时钟从CP1引入,而Q0接CP2 ,即二进制的输出

信号与系统答案西北工业大学段哲民信号与系统1-3章答案

第一章 习 题 1-1 画出下列各信号的波形:(1) f 1(t)=(2-e -t )U(t); (2) f 2(t)=e -t cos10πt×[U(t -1)-U(t-2)]。 答案 (1))(1t f 的波形如图1.1(a )所示. (2) 因t π10cos 的周期 s T 2.0102== ππ ,故)(2t f 的波形如图题1.1(b)所示. 1-2 已知各信号的波形如图题1-2所示,试写出它们各自的函数式。 答案 )1()]1()([)(1-+--=t u t u t u t t f )]1()()[1()(2----=t u t u t t f )]3()2()[2()(3----=t u t u t t f 1-3 写出图题1-3所示各信号的函数表达式。

答案 2 002121 )2(21121)2(21 )(1≤≤≤≤-?????+-=+-+=+=t t t t t t t f )2()1()()(2--+=t u t u t u t f )] 2()2([2sin )(3--+-=t u t u t t f π )3(2)2(4)1(3)1(2)2()(4-+---++-+=t u t u t u t u t u t f 1-4 画出下列各信号的波形:(1) f 1(t)=U(t 2-1); (2) f 2(t)=(t-1)U(t 2-1); (3) f 3(t)=U(t 2-5t+6); (4)f 4(t)=U(sinπt)。 答案 (1) )1()1()(1--+-=t u t u t f ,其波形如图题1.4(a)所示.

(2))1()1()1()1()]1()1()[1()(2---+--=--+--=t u t t u t t u t u t t f 其波形如图题1.4(b)所示. (3) ) 3()2()(3-++-=t u t u t f ,其波形如图1.4(c)所示. (4) )(sin )(4t u t f π=的波形如图题1.4(d)所示. 1-5 判断下列各信号是否为周期信号,若是周期信号,求其周期T 。 )42cos(2)() 1(1π -=t t f ; 2 2)]6[sin()() 1(π -=t t f ; (3) ) (2cos 3)(3t tU t f π=。 答案 周期信号必须满足两个条件:定义域R t ∈,有周期性,两个条件缺少任何一个,则就不是周期信号了. (1) 是, s T 32π= . (2) )]32cos(1[213)(π--?=t t f ,故为周期信号,周期s T ππ ==22.

西工大数电实验报告实验三

实验三.基于Quartus II的硬件描述语言电路设计 要求1:学习并掌握硬件描述语言(VHDL 或Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。参考“参考内容1”中给出的与门源程序,编写一个异或门逻辑电路。 1)用QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容2”中给出的将8421BCD 码转换成0-9 的七段码译码器源程序,编写一个将二进制码转换成0-F 的七段码译码器。 1)用QuartusII 波形仿真验证; 2)下载到DE0 开发板,利用开发板上的数码管验证。 要求3:熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容3”中给出的四位二进制加减计数器的源程序,编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求4:熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。参考“参考内容4”中给出的50M 分频器的源程序,编写一个能实现占空比50%的5M 和50M分频器即两个输出,输出信号频率分别为10Hz 和1Hz。 1)下载到DE0 开发板验证。(提示:利用DE0 板上已有的50M 晶振作为输入信号,通过开发板上两个的LED 灯观察输出信号)。电路框图如下: 要求五:扩展内容:利用已经实现的VHDL 模块文件,采用原理图方法,实现0-F 计数自动循环显示,频率10Hz。(提示:如何将VHDL 模块文件在逻辑原理图中应用,参考参考内容5) 一.实验内容与结果 (一)异或门逻辑电路设计 1.异或门逻辑vhdl程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY yihuo IS PORT(A,B:IN STD_LOGIC; C:OUT STD_LOGIC); END yihuo; ARCHITECTURE fwm OF yihuo IS BEGIN C<=A XOR B; END; 2.Quartus II波形仿真即结果

相关文档
最新文档