数字电子技术基础实验指导书1

数字电子技术基础实验指导书1
数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验

一、实验目的:1、熟悉面包板的结构

2、进一步掌握与非门、或非门、异或门的功能

3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块

74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台

三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1

面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图:

74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。

VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y

1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D

1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND

四、实验内容与步骤:

1、测试面包板的内部结构情况:

用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能:

1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1

其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。

5)分析测得的结果是否符合“与非”的关系。

*3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式

2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2

3注意:带*号的为选做内容。

五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器:

三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。

四、实验结果分析:将实验中出现的现象、结果进 行分析。

五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

2、与非门的输出端不难直接接电源。

3、与非门的输出端不能直接接地。

4、与非门的输出端不能直接相接。

六、要求:1、实验前大体记清面包板及74LS00的内部结构。预习好

实验内容。

2、实验后,写出试验报告。

实验二、TTL门电路逻辑功能转换

一、实验目的:1、学会用面包板实现逻辑电路时的电路连线

2、掌握用“与非”门实现逻辑电路的方法

二、实验仪器:稳压电源一台

面包板一块

万用表一块

74LS00 两块

74LS20 一块

导线若干

三、实验内容与步骤:

一)、实现异或逻辑:

1、将异或逻辑的表达式Y=A —

B+

A B变成“与非—与非”表达式。

2、按表达式画出逻辑图

3、由逻辑图画出用74LS00实现电路时的电路连线图。

4、连成电路,进行逻辑功能验证,将验证的结果填入下表。

5、分析实验结果,看是否符合逻辑要求。

二)、按照同样的方法及步骤完成下列实验内容:

1、F=AB+—

A

B

2、F=ABC

*3、F=A(BC+——————

AB+BC)

*4、有A、B、C三人进行议事表决,当有包括A在内的两人以上同意时,表决

通过,否则不通过。试分析该逻辑关系,设计并实现该逻辑电路。

*5、F1=AB+—

A C+BC

F2= AB+—

A C,将F!、F2的结果作一比较。

注意:带*号的为选做内容。

五、要求:

1、实验前预习实验内容,设计出实验电路,画出连线图,写出预

习实验报告。

2、实验结束后,写出实验报告。

试验报告。

实验三、组合逻辑电路

一、实验目的:1、学会用门电路实现简单组合逻辑电路的设计及电路实现过程。

2、学会用组合逻辑部件实现组合逻辑电路的方法。

二、实验用仪器:稳压电源一台

万用表一块

面包板一块

导线若干

74LS83(全加器)两块

74LS85(四位二进制比较器)两块

可选用的器件:74LS00、74LS20、74LS02(四二输入

或非门)、74LS86(四二输入异或门)

三、74LS83、74LS85的管脚排列:

2 2211103AB AB

三、实验内容:

一)用逻辑门实现组合逻辑电路:

1、设计并实现一个半加器(用“与非”门实现、用“异或”

门实现),然后验证并记录结果。

*2、设计并实现一个全加器(分别用“与非”门实现、用“异

或”门实现),然后验证并记录结果。

3、设计并实现一个一位数值比较器(用“与非”门和“或

非”门实现),然后验证并记录结果。

*二)、用组合逻辑部件实现组合逻辑电路:

1、用74LS83现一个8421BCD码的加法器。要求和仍用8421

BCD码表示。验证功能并记录结果加以分析。

2、用74LS85和门电路,实现二个五位二进制数(A、B)

的比较。验证并记录结果,加以分析。

四、1、实验前,复习好有关内容,设计出逻辑电路,画出连线图,

写出预习实验报告。

2、实验结束后,写出实验总结报告。

实验四、编码器的逻辑测试及应用 一、实验目的:1、验证并进一步掌握编码器的功能 2、学会编码器的功能扩展 二、实验用仪器:稳压电源一台 万用表一块 74LS148两块 74LS147一块 面包板一块 导线若干 三、4LS148、74LS147的管脚排列:

V CC NC —

Y 3 —

3 —

2 —

1 —

9 —

Yo V CC E 0 GS —

3 —

2 —

1 —

0 —

Yo

—4 —5 —6 —7 —

8 —Y 2 —

Y 1 GND —4 —5 —6 —7 —EI —Y 2 —

Y 1 GND 四、实验内容:

1、验证74LS148的功能,并将验证结果列成功能表。

*2、用两片74LS148构成16线——4线编码器,并验证其功能,将验证结果列成功能表。

3、验证74LS147的功能,并将验证结果列成功能表。

五、要求:1、实验前做好预习,熟悉74LS148、74LS147的管脚排列及 各个管脚的功能。写出预习试验报告。 2、实验后写出实验总结报告。

实验5、译码器的功能测试及应用

一、实验目的:1、验证并进一步掌握译码器的功能,熟悉各个管脚的作用。

2、学会用译码器实现逻辑电路。

一、实验用仪器:稳压电源一台;

万用表一块;

74LS138(3线——8线译码器)一块;

74LS20一块;74LS42(4线——10线译码器);

一块面包板一块;

导线若干

三、74LS138、的管脚排列:

V CC—Y0—Y1—Y2—Y3—Y4—Y5—Y6

A0A1 A2—E2—E3E1—Y7GND

四、实验内容:

1、验证74LS138的功能,将验证结果填入功能表1表1:

2、用一块74LS138实现下列逻辑电路:

1)实现A、B、C三人议事表决电路(设计出逻辑电路,画出连线图)

2)实现全加器电路(设计出逻辑电路,画出连线图)。

*3)、实现全减器电路(设计出逻辑电路,画出连线图)。

4)实现“异或”、“同或”电路。

将以上逻辑电路进行功能验证,并记录验证结果。

*2、用两片74LS138实现4线——16线译码器,并验证其功能,将验证结果列成功能表。并用该4线——16线译码器实现下列逻辑电路:

有一盏路灯,由四个不同的地点进行独立控制,实现该逻辑电路。验证其逻辑功能,记录验证结果。

3、验证74LS42的功能,并将验证结果列成功能表。

五、要求:1、实验前熟悉74LS138、74LS42的功能及各个管脚的作

用,写出预习实验报告。

2、实验后写出实验总结报告。

实验6、数据选择器功能测试及应用

一、实验目的:1、进一步掌握数据选择器的功能及各个管脚的作用

2、学会数据选择器的几种应用

二、实验用仪器:稳压电源一台

万用表一块

74LS251(8选1数据选择器)两块

74LS138一块

74LS51(与或非门)一块

74LS00一块

面包板

导线若干

三、74LS251、74LS51管脚排列:

D3D2 D1D0 Y —W —EI GND 1A 2A 2B 2C 2D 2Y GND

四、实验内容:

1、验证74LS251的功能及各个管脚的作用,并将验证结果列成功能表。

2、用一块74LS251实现逻辑电路,并加以验证:

1)F=AB+AC+—BC

*2)F=ABC+D

A+BC(A+B)

3)F=————

3、用两片74LS251扩展成16选1的数据选择器,并进行功能验证,将验证结果列成功能表。

*4、用16选1数据选择器实现下列逻辑电路,并加以验证:

1)F=ABC+—CD

2)F(A,B,C,D,E,)=∑m(2,3,6,12,13,14,19,24,25,26,27,28,30)

*5、用74LS138和74LS251构成八路分时传送电路,并加以验证,记录下结果。

五、要求:1、实验前复习好有关内容,很好的熟悉74LS251、74LS51的

功能及管脚排列,设计出实验电路,画出连线图,写出预习

实验报告。

2、实验结束后,写出实验总结报告。

实验六、显示译码器的功能验证及应用

一、实验目的:1、进一步掌握显示译码器、数码管的功能及各个管 脚的作用。

2、学会使用显示译码器。 二、实验用仪器:稳压电源一台 万用表一块 74LS248三块 共阴极数码管三块 面包板 导线若干

三、74LS248、共阴极数码管的管脚排列:

A 1 A 2 —

LT —

BI/——

RBO ——

RBI A 3 A 0 GND

e d GND c 四、实验内容:

1、验证74LS248的功能及各个管脚的作用,并将验证结果列成功能表。验证方法:用一块74LS248和一块共阴极数码管构成一位译码显示电路,并验证其工作情况,看输入为1010、1011、1100、1101、1110、1111时,数码管的显示情况。将实验结果进行记录分析。

*2、用三块74LS248、三块共阴极数码管,构成有用无用“0”的保留、息灭电路。将实验结果进行记录分析。

五、要求:1、实验前,复习好实验内容,很好的熟悉74LS248及共阴极

数码管的功能及各个管脚的作用,预习实验内容,设计出

实验电路,画出连线图,写出预习试验报告。

2、实验结束后,写出实验总结报告

实验八、触发器的功能验证

一、实验目的:1、进一步掌握及验证JK 、D 触发器的功能特点。 2、验证直接置“0”端RD 、直接置“1”端SD 的作用。 3、学会使用无抖动开关。 三、实验用仪器:稳压电源一台 万用表一块

74LS74(双上升沿D 触发器)一块 74LS76(双JK 触发器)一块 74LS00一块 面包板 发光二极管两只 导线若干 三、74LS74的管脚排列:

V CC 2——

R D 2D 2CP 2——

S D 2Q 2—

Q 1K 1Q 1—

Q GND 2K 2Q 2—

Q 2J

1——R D 1D 1CP 1——S D 1Q 1—Q GND 1CP 1——S D 1——R D 1J V CC 2CP 2——S D 2——

R D 四、实验内容:

一)74LS74的功能验证:

1、验证74LS74中的——

R D 、——

S D 的功能,并将验证结果填在表1中。进行结果分析。

表1

2、用74LS00中的两个与非门接成一个无抖动开关。逻辑电路如图所示。

图、无抖动开关逻辑图

验证无抖动开关的工作情况,使其正常工作后,将其中的一个输出端作为D触发器的CP输入端。

3、验证D触发器的功能,并将验证结果填入表2

进行结果分析。

二)、对74LS76的验证:

1、验证74LS76中的——S D、——R D的功能,将结果填入表3

表3

进行结果分析。

2、验证JK的触发器功能,并将结果填入表4

表4

进行结果分析。

五、要求:1、实验前复习好有关内容,很好的熟悉74LS74、74LS76的

管脚排列及各个管脚的作用,预习好实验内容,写出预习试验

报告。

2、实验结束后,写出实验总结报告。

实验九、计数、译码、显示电路

一、实验目的:1、进一步掌握计数器的功能及各个功能脚的作用

2、掌握数字显示中计数、译码、显示的工作过程

二、实验用仪器:稳压电源一台

万用表一块

74LS160(十进制同步计数器)六块

74LS248(其段译码/驱动器)四块

共阴极数码管四块

74LS00一块

面包板

导线

三、74LS160的管脚排列:

V CC C O Q0Q1Q2Q3 CT T——

LD

——

CR CP D0D1D2 D3CT P GND

四、实验内容:

1、验证74LS160的功能(用一块74LS160、一块74LS248和一块共阴极数码管,

接成计数、译码、显示电路),并将验证结果列成功能表。

2、用反馈归零法,将74LS160变成模6计数器,验证其结果,并将结果记录下来。

*3、用两片74LS160、两片74LS248、两片数码管及门电路,构成二十四进制数的

计数、译码、显示电路,观察其计数、进位情况,记录结果,加以分析。

*4、用六片74LS160、四片74LS248、四片共阴极数码管及门电路,构成带有100分频分频器的小时、分的计数、译码、显示电路。验证其功能,记录结果,加以分析。

五、要求:1、实验前,复习好有关内容,很好的熟悉74LS160的功能及

各个管脚的功能,充分的预习实验内容,设计出实验电路,

写出预习试验报告。

2、实验结束后,写出实验总结报告。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

模电实验指导书test2

实验一、常用仪器的使用及常用器件的认识、检测一、实验目的 1.学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的技术指标、性能及正确使用方法。 2.初步掌握双踪示波器观察正弦信号波形和读书波形参数的方法。 3.认识常见的电子元器件及其检测方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等。它们和万用电表在一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各中电子仪器进行综合使用,可按照信号流向,一连先简捷,调节顺手,观察与读数方便等原则进行合理布局,个仪器与被册实验装置之间的布局与连线如图1——1所示。接线是应注意,为了防止外界的干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流伏安表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 1.示波器 在本书实验附录中已对常用的GOS-620型双踪示波器的原理和使用做了较详细的说明,先着重指出下列几点: 1)寻找扫描光迹点 在开机半分钟后,如还找不到光点,可调节亮度旋钮,并按下“寻迹”键,从中判断光点的位置,然后适当调节垂直(↑↓)和水平()移位旋钮,将光点移至荧光屏的中心位置。 2)为了显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。 a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确定。 b、“触发源的选择”开关(内、外)——通常选为内触发。 c、“内触发源的选择”开关(拉YB)——通常至于常态(推进位置)。此时对单一从 YA或YB输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB )位置,此时触发信号仅取自YB,故仅对YB输入的信号同

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数电实验指导书(2016年14级)

实验一:门电路实验 一、实验目的: 熟悉、掌握门电路的逻辑功能 二、实验仪器和设备: 1、TPE-D6型数字电路学习机2、数字万用表 三、实验原理及主要知识点 1.与非门_____ AB F =(有0出1,全1出0) 2.与或非门___ __________CD AB F +=(画真值表自行总结) 3.或门B A F +=(有1出1,全0出0) 四、实验步骤 实验前的准备:在学习机上未接任何器件的情况下(指实验用插座部分),先合上交流电源,检查5V 电源是否正常,再合直流电源测V CC 处电压是否正常,测两排插口中间V CC 插口处电压是否正常,全正常后断开全部电源。 随后选择好实验用集成片,查清集成片的引腿及功能,然后根据实验图接线,特别注意V CC 及地的接线不能接错,待老师检查后方可接通电源进行实验,以后所有实验依此办理。 (一) 测与非门的逻辑功能 1、选双4输入正与非门74LS20集成芯片一只;选择一个组件插座(片子先不要插入)按图接好线。 2、输入端接电平开关输出插口,输出端接发光二极管显示插口。 3、拨动电平开关,按表中情况分别测出输出端电平。 (二)、测与异或门的逻辑功能 1、选两路四输入与或非门电路74LS55集成芯片一只;选择一个组件插座(片子先不要插入)按图接线。 4 双4输入正与非门74LS20

2、 (三)根据摩根定理或门的逻辑函数表达式B A Z +=,可以写成B A Z ?=,因此可以用三个与非门构成或门。 (1) 将由三个与非门构成的或门测试电路画在下面空白处。 (2) 当输入端(A 、B )为下列情况时,分别测输出端(Z )的电位,将结果填入表中。 五、实验思考题及实验报告要求 整理实验数据,并对数据进行分析,根据实验观察到的现象,回答下列问题。 1与非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与非门不用的输入端应如何处理? 2与或非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与或非门不用的与门应如何处理? 实验二 组合逻辑电路实验 一、实验目的 (一) 掌握组合逻辑电路的分析方法 (二) 验证半加器的逻辑功能 (三) 了解二进制数的运算规律 二、实验仪器及设备 (一) TPE-D6型数字电路学习机 (二)数字万用表 三、实验原理及主要知识点 组合逻辑电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或 4个二输入异或门74LS86

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

高电压技术实验指导书_学生用_

实验一.电介质绝缘特性及电击穿实验 一.实验目的: 观察气隙击穿、液体击穿以及固体沿面放电等现象及其特点,认识其发展过程及影响击穿电压的各主要因素,加深对有关放电理论的理解。 二.预习要点: 概念:绝缘;游离;电晕;电子崩;流注;先导放电;自持放电;滑闪放电;沿面放电;小桥;电击穿;热击穿。 判断:空气是绝缘介质;纯净液体的击穿是电击穿,非纯净液体的击穿是热击穿,绝缘油的击穿电压受油品、电压作用时间、电场分布情况及温度的影响较大,电弧会使油分解并产生炭粒;沿面放电是特殊的气体放电,分三个阶段,沿面闪络电压小于气隙击穿电压。 推理:变压器油怕受潮;油断路器有动作次数的限制; 相关知识点:电场、介质极化、偶极子、介电常数、Paschen定律、Townsend理论、流注理论、伏秒特性、大气过电压、内部过电压。 三.实验项目: 1.气体绝缘介质绝缘特性及电击穿实验 ⑴.电极形状对放电的影响 ①.球球间隙 ②.针板间隙 ③.针针间隙 ⑵.电场性质对放电的影响 ①.工频交流电场 ②.直流电场 ⑶.极性效应 ①.正针负板 ②.负针正板 2.液体绝缘介质绝缘特性及电击穿实验 ⑴.导电小桥的观察 ⑵.抗电强度的测试 3.固体绝缘介质绝缘特性及电击穿实验 ⑴.刷状放电的观察 ⑵.滑闪放电的观察 ⑶.沿面闪络的观察 四.实验说明: 1.气体绝缘特性: ⑴.气体在正常情况下绝缘性能良好(带电粒子很少); ⑵.气体质点获得足够的能量(大于其游离能)后,将会产生游离,生成正离子和电子; ⑶.气体质点获得能量的途径有:粒子撞击、光子激励、分子热碰撞; ⑷.气隙中除了有气体质点游离产生的带电粒子外,还存在金属电极表面的逸出电子; ⑸.气隙加上电场,气隙中的带电粒子将顺电场方向加速运动,造成大量的粒子碰撞,但产生气体质点游离的撞源粒子是电子;

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)