胡晓光数字电子技术基础课本课后复习资料北航考研必备

胡晓光数字电子技术基础课本课后复习资料北航考研必备
胡晓光数字电子技术基础课本课后复习资料北航考研必备

第一章逻辑代数基础

1.1 、用布尔代数的基本公式和规则证明下列等式。

1.2 、求下列函数的反函数。

1.3 、写出下列函数的对偶式。

1.4 、证明函数F 为自对偶函数。

1.5 、用公式将下列函数化简为最简“与或”式。

1.6 、逻辑函数。若 A 、

B 、

C 、

D 、的输入波形如图所示,画出逻辑函数 F 的波形。

1.7 、逻辑函数F 1 、F 2 、F 3 的逻辑图如图2 — 35 所示,证明F 1 =F 2 =F 3 。

1.8 、给出“与非”门、“或非”门及“异或”门逻辑符号如图2 — 36 (a )所示,若A 、B 的波形如图2 — 36 ( b ),画出F 1 、 F 2 、 F 3 波形图。

1.9 、用卡诺图将下列函数化为最简“与或”式。

1.10 、将下列具有无关最小项的函数化为最简“与或”式;

1.11 、用卡诺图将下列函数化为最简“与或”式;

1.12 用卡诺图化简下列带有约束条件的逻辑函数

1.13 、用最少的“与非”门画出下列多输出逻辑函数的逻辑图。

第二章门电路

2.1 由TTL 门组成的电路如图2.1 所示,已知它们的输入短路电流为I is =1.6mA ,高电平输入漏电流I iH = 40。试问:当A=B=1 时,G 1 的灌电流(拉,灌)为

3.2mA ;A=0

时,G 1 的拉电流(拉,灌)为120。

2.2 图2.2 中示出了某门电路的特性曲线,试据此确定它的下列参数:输出高电平U OH = 3V ;输出低电平U OL = 0.3V ;输入短路电流I iS = 1.4mA ;高电平输入漏电流I iH = 0.02mA ;阈值电平U T = 1.5V ;开门电平U ON = 1.5V ;关门电平U OFF = 1.5V ;低电平噪声容限U NL = 1.2V ;高电平噪声容限U NH = 1.5V ;最大灌电流I OLmax = 15mA ;扇出系数N= 10 .

2.3 TTL 门电路输入端悬空时,应视为高电平;(高电平,低电平,不定)此时如用万用表测量其电压,读数约为1.4V (

3.6V ,0V ,1.4V )。

2.4 CT74 、CT74H 、CT74S 、CT74LS 四个系列的TTL 集成电路,其中功耗最小的为CT74LS ;速度最快的为CT74S ;综合性能指标最好的为CT74LS 。

2.5 CMOS 门电路的特点:静态功耗极低(很大,极低);而动态功耗随着工作频率的提高而增加(增加,减小,不变);输入电阻很大(很大,很小);噪声容限高(高,低,等)于TTL 门。

2.6 集电极开路门(OC 门)在使用时须在输出与电源之间接一电阻(输出与地,输出与输入,输出与电源)。

2.7

若G 2 的悬空的输入端接至0.3V ,结果如下表

2.9 输入悬空时为高电平,M= “ 0 ” , V M =0.2V , 三态门输出为高阻, M 点电位由后面“与或非”门的输入状态决定,后面与门中有一输入为 0 ,所以 V M =0V 。

2.10

2.11 上图中门 1 的输出端断了,门 2 、 3 、 4 为高电平输入,此时 V M =1.6V 左右。

2.12 不能正常工作,因为不能同时有效,即不能同时为低电平。

2.13 图为由TTL “与非”门组成的电路,输入 A 、 B 的波形如图所示,试画出 V 0 的波形。

2.14 图中门 1 、 2 、 3 均为 TTL 门电路,平均延迟时间为 20ns ,画出 V O 的波形。

2--8

1 、 Y 1 =ABCDE Y

2 =A+B+C+D+E

2 、该扩展方法不适用于 TTL 门电路。对与门而言,当扩展端 C=0.3V 时,其输入电压约为 1V ,已大于 U iLmax (0.8V) ;对或门而言,当扩展端 C=U OHmin =2.4V 时,其输入电压约为 1.7V ,已小于 U iHmin (2V) ;

2--9

2--10 乙的说法正确,因为该点的电压有可能是变化的,此时万用表测得的是电压的平均值, 1.8V 的读数完全正常。

3.6 结果如下表:

3.7 1. 真值表 : 3. 表达式: F 2 =M ,

3.8 1 、真值表

3.9

3.11

3.12 把 BCD 8421 码转换为 BCD 5421 码,前五个数码不需改变,后五个数码加 3 。据此可得加数低两位的卡诺图,所以

3.14

1 、

2 、用八选一数据选择器和门电路实现。

3.15 用 8 选 1 数据选择器实现下列函数:

第四章触发器和定时器4.1

4.2 ( 1 )特性表 (CP=0 时,保持; CP=1 时如下表 )(2) 特性方程

(3) 该电路为锁存器(时钟型 D 触发器)。 CP=0 时,不接收 D 的数据; CP=1 时,把数据锁存。 ( 但该电路有空翻 )

4.3 (1) 、 C=0 时该电路属于组合电路; C=1 时是时序电路。

(2) 、

(3) 、输出 Q 的波形如下图。

4.4

4.5

4.6

4.7 1 、 CP 作用下的输出 Q 1 Q 2 和 Z 的波形如下图; 2 、 Z 对 CP 三分频。

4.8 由得 D 触发器转换为 J-K 触发

器的逻辑图如下面的左图;而将 J-K 触发器转换为 D 触发器的逻辑图如下面的右图。

1999-2016年北京航空航天大学911材料综合考研真题及答案解析 汇编

2017版北京航空航天大学《911材料综合》全套考研资料 我们是布丁考研网北航考研团队,是在读学长。我们亲身经历过北航考研,录取后把自己当年考研时用过的资料重新整理,从本校的研招办拿到了最新的真题,同时新添加很多高参考价值的内部复习资料,保证资料的真实性,希望能帮助大家成功考入北航。此外,我们还提供学长一对一个性化辅导服务,适合二战、在职、基础或本科不好的同学,可在短时间内快速把握重点和考点。有任何考北航相关的疑问,也可以咨询我们,学长会提供免费的解答。更多信息,请关注布丁考研网。 以下为本科目的资料清单(有实物图及预览,货真价实): 北京航空航天大学《材料综合》全套考研资料 一、北京航空航天大学《材料综合》历年考研真题及答案解析 2015年北京航空航天大学《材料综合》考研真题(含答案解析) 2015年北京航空航天大学《材料综合》考研真题(含答案解析) 2014年北京航空航天大学《材料综合》考研真题(含答案解析) 2013年北京航空航天大学《材料综合》考研真题(含答案解析) 2012年北京航空航天大学《材料综合》考研真题(含答案解析) 2011年北京航空航天大学《材料综合》考研真题(含答案解析) 2010年北京航空航天大学《材料综合》考研真题(含答案解析) 2009年北京航空航天大学《材料综合》考研真题(含答案解析) 2008年北京航空航天大学《材料综合》考研真题(含答案解析) 2007年北京航空航天大学《材料综合》考研真题(含答案解析) 2006年北京航空航天大学《材料综合》考研真题(含答案解析) 2005年北京航空航天大学《材料综合》考研真题(含答案解析) 2004年北京航空航天大学《材料综合》考研真题(含答案解析) 2003年北京航空航天大学《材料综合》考研真题(含答案解析) 2002年北京航空航天大学《材料综合》考研真题(含答案解析) 2000年北京航空航天大学《材料综合》考研真题(含答案解析) 1999年北京航空航天大学《材料综合》考研真题(含答案解析) 二、材料分析重点总结 三、金属学原理重点总结 四、金属学原理名称解析总结 五、物理化学复习总结 六、无机非金属材料复习总结 七、高分子物理复习总结 八、高分子化学复习总结 以下为截图及预览: 2015真题及答案

2018年北航数学专业考研(0701)考试科目、招生人数、参考书目、复习指导---新祥旭考研

2018年北航数学专业考研(0701)考试科目、招生人数、参考书目、 复习指导 一、招生信息 所属学院:数学与系统科学学院 招生人数:53 所属门类代码、名称:理学[07] 所属一级学科代码、名称:数学[0701] 二、研究方向: 01 代数学及其应用 02 复分析及其应用 03 泛函分析及其应用 04 偏微分方程及其应用 05 微分方程与动力系统 06 信息数学与科学计算 07 概率与数理统计 08 运筹学与控制论 三、初试考试科目: ①101思想政治理论 ②201英语一 ③609数学专业基础 ④891数学专业综合 四、参考书目 《高等代数》第三版高等教育出版社北京大学数学系编 《数学分析》(上册、下册) 高等教育出版社陈纪修等

五、复习指导 1、参考书的阅读方法 (1)目录法:先通读各本参考书的目录,对于知识体系有着初步了解,了解书的内在逻辑结构,然后再去深入研读书的内容。 (2)体系法:为自己所学的知识建立起框架,否则知识内容浩繁,容易遗忘,最好能够闭上眼睛的时候,眼前出现完整的知识体系。 (3)问题法:将自己所学的知识总结成问题写出来,每章的主标题和副标题都是很好的出题素材。尽可能把所有的知识要点都能够整理成问题。 2、学习笔记的整理方法 (1)第一遍学习教材的时候,做笔记主要是归纳主要内容,最好可以整理出知识框架记到笔记本上,同时记下重要知识点,如假设条件,公式,结论,缺陷等。记笔记的过程可以强迫自己对所学内容进行整理,并用自己的语言表达出来,有效地加深印象。第一遍学习记笔记的工作量较大可能影响复习进度,但是切记第一遍学习要夯实基础,不能一味地追求速度。第一遍要以稳、细为主,而记笔记能够帮助考生有效地达到以上两个要求。并且在后期逐步脱离教材以后,笔记是一个很方便携带的知识宝典,可以方便随时查阅相关的知识点。 (2)第一遍的学习笔记和书本知识比较相近,且以基本知识点为主。第二遍学习的时候可以结合第一遍的笔记查漏补缺,记下自己生疏的或者是任何觉得重要的知识点。再到后期做题的时候注意记下典型题目和错题。 (3)做笔记要注意分类和编排,便于查询。可以在不同的阶段使用大小合适的不同的笔记本。也可以使用统一的笔记本但是要注意各项内容不要混杂在以前,不利于以后的查阅。同时注意编好页码等序号。另外注意每隔一定时间对于在此期间自己所做的笔记进行相应的复印备份,以防原件丢失。统一的参考书书店可以买到,但是笔记是独一无二的,笔记是整个复习过程的心血所得,一定要好好保管。

北航04-05数电期末A卷

北京航空航天大学 2004-2005 学年 第二学期期末 《 数字电路 》期末考试A 卷 题目: 一、求解下列各题:(本题共20分,每小题4分) 1、用公式法化简逻辑函数 QC Y X Q YZ X )Z Y (X C Q Z C Q Z Q Y Z Y XZ F ++++++++= 2、用卡诺图化简逻辑函数 ∑=)130,3,5,6,8,()D ,C ,B ,A (4m F 无关最小项为∑)10,4,1(d ; 3、图(a)所示为TTL 电路,输入信号A 、B 、C 的波形如(b)所示,对应画出输出信号的波形。 4、图示电路为发光二极管驱动电路,其中OC 门的输出低电平V OL =0.3V ,输出低电平时的最大负载电流I OL =12mA ,发光二极管的导通电压V D =1.5V ,发光时其电流10mA ≤I D ≤15mA 。试问: (1)如图所示两电路中,发光二极管各在什么情况下发光? (2)电阻R 1、R 2的取值范围。 (a ) (b )

5、由555构成的单稳态触发器中,已知V CC=9V,R=27KΩ,C=0.05μF。估算输出脉冲的宽度t w。 二、试用八选一数据选择器及适当门电路实现下面逻辑关系(本题12分)。 F(A,B,C,D)=AB+ABCD+ACD+ACD+ABCD 三、由四位加法器74LS283、四位比较器74LS85构成的逻辑电路如图所示,A=A3A2A1A0, B=B3B2B1B0,A、B为四位二进制数,试分析该电路的逻辑功能。(本题12分)

四、逻辑电路和各输入信号波形如图所示,画出各触发器Q 端的波形。各触发器的初始状态为0。 (本题12分) 74LS85 74LS283 CP CP

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航材料考研经验贴

亲爱的学弟学妹,你们好。我叫**,是你们的大四学长。我今年考取的是北航材料院高分子复合材料系的专业型硕士研究生,初试总分378,政治73 英语68 数学115 专业课122。 作为你们的学长,去年这个时候,也是对未来充满着疑惑和幻想。考研这一路走来,我明白了许多道理,自己也变的更加成熟。今天在这里分享下自己一路考研的心路历程,希望能帮到大家。 希望看到这个贴子的同学都已经下定决心要考研了,我个人不建议做两手准备。我去年这个时候,只是在纠结要考哪所学校,本来是在西工大和南航之间纠结的,个人从来没想过会去报考北航,但是看了**学长的经验贴之后,和学长面谈了好久,学长给我了很多鼓励,从而坚定了我考北航的决心,所以说自信心非常重要。 顺便说一下北航材料的大概情况:北航材料学院有三个方向,金属、高分子复合材料、陶瓷。其中以金属专业最为庞大,每年招收的研究生人数也是最多的,客观的说,考上的可能性也相对大一些。高分子专业小而精,招的人数少而且最近几年异常火爆,往年学术硕士最终实际录取分数都在380+,所以我为了求稳,最终报考了专硕。今年高分子学硕进复试的只有10个人,而专硕有20个人,可能是往年学硕竞争太激烈,大家都没敢报了,明年什么情况就不知道了。陶瓷方向貌似不是他们的强势专业,也是往年报考人数最少的,当然录取分数也是最低。关于学

硕和专硕的区别,大家可以百度一下,北航材料院学硕和专硕是独立招生的,二者不能相互调剂,培养机制都一样,学硕毕业要求发一篇SCI,专硕要求发一篇EI。今年北航材料专硕线325学硕线340。 现在就以时间轴谈一下我的考研全程计划: 4月份:这段时间应该就属于考研前的准备工作了,这段时间就要搜集各种报考学校报考专业的信息,考试科目是什么,用哪本参考书等等,可以多看看网上的经验贴,了解一下大概的考研流程框架。信息越是灵敏,以后复习才能从容不迫。我强烈推荐一个QQ群叫材料人17年考研群,或者搜一下材料人考研、材料人的微信公众号,可以获取超级多的考研信息。后期的各种电子版资料群里都有的,超级全,不能再赞了。 5、6月份:这段时间属于考研的预热阶段吧。买本考研词汇,每天记记单词,在暑假之前把高数课本复习一遍,课后习题一定要认真做的,尤其是微积分的计算题。希望大家前期能抽空多复习一点,因为六月底有各种期末考试,复习也会受到影响。 暑假7、8月份:我认为暑假是考研复习的黄金时期,也是巩固基础的关键阶段(暑假没回家)。英语:我是每天坚持记单词和做两篇阅读,用的书是《考研阅读理解150篇》,其实只有100篇,暑假足可以做完。数学:7月份我用20天把考研数学(二)复习全书高数部分看完并做了同步习题册,10天看线代课本和全书的线代部分。当然如果看不完可以占用八月份一些时间。8

北航数学分析期末考试卷

A 一、填空题(每题5分,共30分) 1. 设向量场),,(222xyz z xy yz x A =,求=divA =rotA 2.求=+?→x x dx ααcos 12100lim 3.设),(y x f 在原点领域连续, 求极限=??≤+→dxdy y x f y x ),(12222 0lim ρρπρ 4.设为自然数,n z y x z y x D },10,10,10|),,{(≤≤≤≤≤≤= 求=+++???dxdydz z y x y x n n n n n D 5.设,)(2)1(cos sin dt e x f t x x +?= 求=)('x f 6.)为右半单位圆 设L (,sin cos :???==θ θy x L 求=?ds y L || 二、(本题满分10分) 设Ω为椭球体,1222222≤++c z b y a x 计算dxdydz xy z I )2(2+=???Ω

三(本题满分10分) 计算曲面积分,)(dS z y x ++??∑ 其中∑是平面5=+z y 被柱面2522=+y x 所 截得的部分。 四(本题满分30分,每题10分) 1. 计算曲线积分 ?-+-+-=L dz y x dy x z dx z y I ,)()()(02222=++=++z y x a z y x L 与平面是球面其中取逆时针方向。轴正向看去的交线,从L z

2.计算曲面积分.zdxdy ydzdx xdydz ++??∑ 其中)0(:22h y z x y ≤≤+=∑,方 向取左侧。 3.计算,4)4()(.22y x dy y x dx y x L +++-?其中L 为单位圆周,.122=+y x 方向为逆时针方向。

北航数字电路在线作业一

北航《数字电路》在线作业一 令狐采学 北航《数字电路》在线作业一 单选题判断题 一、单选题(共 15 道试题,共 60 分。) 1. 8位移位寄存器,串行输入时经()个脉冲后,8位数码全部移入寄存器中 A. 1 B. 2 C. 4 D. 8 -----------------选择:D 2. TTL集成电路采用的是( )控制,其功率损耗比较大 A. 电压 B. 电流 C. 灌电流 D. 拉电流

-----------------选择:B 3. 不属于矩形脉冲信号的参数有( ). A. 周期 B. 占空比 C. 脉宽 D. 扫描期 -----------------选择:D 4. 在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有()结构,否则会产生数据冲突。 A. 集电极开路 B. 三态门 C. 灌电流 D. 拉电流 -----------------选择:B 5. 以下电路中,加以适当辅助门电路,()适于实现单输出组合逻辑电路 A. 奇偶校验器

B. 数据选择器 C. 数值比较器 D. 七段显示译码器 -----------------选择:B 6. MOS集成电路采用的是()控制,其功率损耗比较小 A. 电压 B. 电流 C. 灌电流 D. 拉电流 -----------------选择:A 7. 一个无符号4位权电阻DAC,最低位处的电阻为40KΩ,则最高位处电阻为() A. 4KΩ B. 5KΩ C. 10KΩ D. 20KΩ -----------------选择:B

8. 常用的BCD码有( ). A. 奇偶校验码 B. 格雷码 C. 8421码 D. 汉明码 -----------------选择:C 9. 多谐振荡器可产生() A. 正弦波 B. 矩形脉冲 C. 三角波 D. 锯齿波 -----------------选择:B 10. 与十进制数(53.5 )10等值的数或代码为( ). A. (0101 0011.0101 )8421BCD B. (36.8 )16 C. (100101.1 )2 D. (65.7 )8

北航材料考研材料现代研究方法复习资料.docx

材研复习资料 目录 第一章晶体学 (1) 第二章X射线相关知识 (6) 第三章常见的粉末与单晶衍射技术 (17) 第四章扫描与透射电子显微镜 (23)

第一章晶体学 一、晶体结构概论 1,固体无机物质分晶态和非晶态两种。 女口:铁、金刚石、玻璃、水品 晶态:构成固体物质的分子或原子在三维空间有规律的周期性排列。特点:长程有序,主要是周期有序或准周期性。 非晶态:构成物质的分子或原子不具有周期性排列。 特点:短程有序,长程无序2,点阵的概念 构成晶体的原子呈周期性重复排列, 同时厂?个理 想晶体也可以看成是由一个基本单位在空间按一 定的规则周期性无限重复构成的。晶体中所有基 木单位的化学组成相同、空间结构相同、排列取 向相同、周围环境相同。将这种基本单位称为基 元。基元可以是单个原子,也可以是一组相同或 不同的原子。若将每个基元抽象成一个儿何 点,即在基元中任意规定一点,然后在所有其他 基元的相同位置也标出一点,这些点的阵列就构 成 了该晶体的点阵(lattice) o 点阵是一个儿何概念,是按周期性规 律在空间排布的一组无限多个的点,每个点都具 有和同的周围环境,在其中连接任意两点的矢量进行平移时,能使点阵复原。 3,点阵和晶体结构 阵点(几何点代替结构单元)和点阵(阵点的分布总体) 注意与晶休结构(二点阵+结构单元)的区别 空间点阵实际上是由晶体结构抽象而得到的儿何图形。空间点阵中的结点只是几何点,并非具体的质点(离子或原子)。空间点阵是几何上的无限图形。而对于实际晶体來 说,构成晶体的内部质点是具有实际内容的原子或离子,具体的宏观形态也是有限的。但是空间点阵屮的结点在空间分布的规律性表征了晶体格子构造屮具休质点在空间排列的规律性。 4,十四种空间点阵 根据品体的对称特点,可分为7个品系: 三斜晶系(triclinic 或anorthic) 1) aHbzc; a邙工仔90°。 2)单斜晶系(monoclinic) aHbHc; a=y=90°邙(第二种定向,晶体学常用)。 aHbHc; a=p=90°#Y (第一种定向)。 3)正交晶系(orthorhombic)

北航数值分析大作业一

《数值分析B》大作业一 SY1103120 朱舜杰 一.算法设计方案: 1.矩阵A的存储与检索 将带状线性矩阵A[501][501]转存为一个矩阵MatrixC[5][501] . 由于C语言中数组角标都是从0开始的,所以在数组MatrixC[5][501]中检索A的带内元素a ij的方法是: A的带内元素a ij=C中的元素c i-j+2,j 2.求解λ1,λ501,λs ①首先分别使用幂法和反幂法迭代求出矩阵按摸最大和最小的特征值λmax和λmin。λmin即为λs; 如果λmax>0,则λ501=λmax;如果λmax<0,则λ1=λmax。 ②使用带原点平移的幂法(mifa()函数),令平移量p=λmax,求 出对应的按摸最大的特征值λ,max, 如果λmax>0,则λ1=λ,max+p;如果λmax<0,则λ501=λ,max+p。 3.求解A的与数μk=λ1+k(λ501-λ1)/40的最接近的特征值λik (k=1,2,…,39)。 使用带原点平移的反幂法,令平移量p=μk,即可求出与μk最接近的特征值λik。 4.求解A的(谱范数)条件数cond(A)2和行列式d etA。 ①cond(A)2=|λ1/λn|,其中λ1和λn分别是矩阵A的模最大和 最小特征值。

②矩阵A的行列式可先对矩阵A进行LU分解后,detA等于U所有对角线上元素的乘积。 二.源程序 #include #include #include #include #include #include #include #define E 1.0e-12 /*定义全局变量相对误差限*/ int max2(int a,int b) /*求两个整型数最大值的子程序*/ { if(a>b) return a; else return b; } int min2(int a,int b) /*求两个整型数最小值的子程序*/ { if(a>b) return b; else return a; } int max3(int a,int b,int c) /*求三整型数最大值的子程序*/ { int t; if(a>b) t=a; else t=b; if(t

北航材料科学与工程学院招生复试流程及安排

2012年北京航空航天大学材料科学与工程学院硕士研究生招生复试流程及安排 一、复试流程(见附件) 二、复试具体内容: 1.参加复试考生初试成绩要求: 1)第一志愿报考我学院且一志愿专业满足我院相应专业复试线(见下表)的全国统考考生。 第一志愿报考专业代 码及名称学位类别总分 外 国语 政 治 业 务课1 业 务课2 080500 材料科学与工程 学术 型硕士 3 50分 5 0分 5 0分 85 分 85 分 085204 材料工程 全日 制专业学 位硕士 3 20分 5 0分 5 0分 85 分 85 分 2)第一志愿报考我学院满足学校复试线要求的单独考试考生。 2.北航研究生招生信息网(网址:https://www.360docs.net/doc/5017470616.html,/)查询学术型硕士和全日制专业学位硕士情况说明; 3.复试科目

按拟报的研究方向,选择相应的复试科目,复试科目及参考书请看附件2、附件3。也可上材料学院主页https://www.360docs.net/doc/5017470616.html,/查看。 参加复试的同学,考哪门专业课,就去哪个专业方向面试,请务必在3月23日晚18:00之前将拟报的研究方向和拟考的笔试科目发e-mail至whn1227@https://www.360docs.net/doc/5017470616.html,(建议优先选择)或打电话(010)82338291告知。 4.交复试费,时间为3月23日上午8:30,地点:主M401 5.宣讲复试要求 组织所有报考我院具有复试资格并参加复试的考生开会,宣讲复试要求及填报志愿,复试包括笔试、面试。 考生在复试时除复试通知书(北航研究生招生信息网上下载,无须盖研招办公章)外,还须携带以下材料,只有材料齐全方可进入复试: (1)本人有效身份证件原件及一份复印件(身份证、军官证,应届本科毕业生和成人应届本科毕业生还需持本人学生证,纸型为A4纸,身份证正、反面需复印在同一页面上); (2)交纳复试费100元 (3)非应届本科毕业生及非成人应届本科毕业生还需携带以下各类材料:A、学历证书原件及一份复印件;B、由档案所在单位人事部门提供的在校历年学习成绩表复印件,并需加盖档案所在单位人事部门公章; (4)应届本科毕业生需携带所在学校教务部门提供并加盖公章的在校历年学习成绩表(毕业证书需于新生开学报到时向院系提供原件与复印件); (5)以同等学力身份报考我校的考生,还需提供符合其报考资格要求的各类材料原件及复印件。 (6)凡提交的报考信息需与本人实际相符合。凡是不符者,一经发现,立即取消录取资格。 (7)现役军人、国防生、委培生、定向生等需和我校签署相关协议的考生请书面向院系说明。 6.笔试 (1)按照考生拟报的研究方向,笔试相应科目,满分150分。 (2)专业英语,满分50分。

北航数字电路考试题答案

北京航空航天大学 2011 ~2012 学年第一学期 《数字电路与系统》期末考试试卷(A卷) 答案及参考评分标准 一、(10分,每小题2分)判断各题正误,正确的在括号内记“√”,错误的在 括号内记“×”。 (1) 对于十进制纯小数,求它的二进制表示可以采用“除2取余”法。………(×) (2) TTL门电路在高电平输入时,其输入电流很小(74系列每个输入端的输入电 流约为40μA)。…………………………………………………………(√) (3) 三态门输出为高阻时,其输出线上的电压为高电平。…………………(×) (4) 单稳态触发器的暂稳态维持时间的长短取决于外界触发脉冲的频率和幅 度。…………………………………………………………………………(×)(5) 当时序逻辑电路存在无效循环时,该电路不能自启动。………………(√)

二、(10分,每小题5分) (1) 设逻辑函数为)()(),,,(C A D B C B A D C B A f +?++=,则它的反函数 ),,,(D C B A f =)()(C A D B C B A ++??+ =A C B D C B C B D A B A ?+?+?++? =C B D A B A ?++? (写成“与或”表达式的形式,可以不用化简);则f (A ,B ,C ,D )的对偶式为 =),,,(D D C B A f )()(C A D B BC A ++?+ =D A BC A D BC BC AB ++++=AB +D A +BC (可以不用化简)。 (2) 如图2-1,门电路G 1,G 2均TTL 工艺,当输入信号A 为低电平V IL , B 为高电平V IH 的情况下,图中T 点为__低__电平(填写“高”或“低”);如果采用正逻辑(即:高电平代表逻辑“1”,低电平代表逻辑“0”),请写出输出Y 关于A ,B ,C 的逻辑函数 Y (A ,B ,C )=C B A C B C A C B A C B A C B A C B A ?++=?++=??+??)( 。 说明:如果写成Y (A ,B ,C )=)(B A ?☉C ,得3分;(同或运算) 如果写成?? ?=+=?0 1 C B A C B A ,得2分。 图2-1

2017-2018年北航材料科学与工程911材料综合考研大纲重难点

911材料综合考试大纲(2017年) 《材料综合》满分150分,考试内容包括《物理化学》、《材料现代研究方法》《材料科学基础》三门课程,其中《物理化学》占总分的50%,《材料现代研究方法》占总分的30%,《材料科学基础》占总分的20%。特别注意:《材料科学基础》分为三部分,考生可任选其中一部分作答。 物理化学考试大纲(2017年) 适用专业:材料科学与工程专业 《物理化学》是化学、化工、材料及环境等专业的基础课。它既是专业知识结构中重要的一环,又是后续专业课程的基础。要求考生通过本课程的学习,掌握化学热力学及化学动力学的基本知识;培养学生对化学变化和相变化的平衡规律及变化速率规律等物理化学问题,具有明确的基本概念,熟练的计算能力,同时具有一般科学方法的训练和逻辑思维能力,体会并掌握怎样由实验结果出发进行归纳和演绎,或由假设和模型上升为理论,并能结合具体条件应用理论分析解决较为简单的化学热力学及动力学问题。 一、考试内容及要求 以下按化学热力学基础、化学平衡、相平衡、电化学、以及化学动力学五部分列出考试内容及要求。并按深入程度分为了解、理解(或明了)和掌握(或会用)三个层次进行要求。 (一)化学热力学基础 理解平衡状态、状态函数、可逆过程、热力学标准态等基本概念;理解热力学第一、第二、第三定律的表述及数学表达式涵义;明了热、功、内能、焓、熵和Gibss函数,以及标准生成焓、标准燃烧焓、标准摩尔熵和标准摩尔吉布斯函数等概念。 熟练掌握在物质的p、T、V变化,相变化和化学变化过程中求算热、功以及各种热力学状态函数变化值的原理和方法;在将热力学公式应用于特定体系的时候,能应用状态方程(主要是理想气体状态方程)和物性数据(热容、相变热、蒸汽压等)进行计算。 掌握熵增原理和吉布斯函数减小原理判据及其应用;明了热力学公式的适用条件,理解热力学基本方程、对应系数方程。 (二)化学平衡 明了热力学标准平衡常数的定义,会用热力学数据计算标准平衡常数; 理解并掌握Van't Hoff等温方程及等压方程的含义及其应用,能够分析和计算各种因素对化学反应平衡组成的影响(如系统的温度、浓度、压力和惰性气体等)。 (三)相平衡 理解并掌握Clapeyron公式和Clausius-Clapeyron方程,并能进行有关计算。 理解相律的意义;掌握单组分体系和二组分体系典型相图的特点和应用,能用杠杆规则进行相组成计算,会用相律分析相图。 (四)电化学

北航数字电路期末试题及答案

北航数字电路期末试题及答案

数字电子技术基础(A 卷) 一. 解答下列问题(共40分,每小题5分) 1. 十进制数 X = 117,其ASCII 码表示为: 。 在8位机器中,[X]补 = ,[-X]补 = 。 2. 已知逻辑函数:()F A C BC A B CD =+++,直接用反演规则写出其反函数和对偶函数。 3. 用卡诺图化简逻辑函数∑∑+=)15,1013,8,2,1()14,12,7,6,0(44d m F

4. 用OC 门驱动发光二极管电路如图,若V F =2V ,I F =20mA ,试完善电路并计算电阻R=? 5. 画出图示电路的输出波形 6. 主-从JK 触发器,已知CP 、J 、K 信号波形如图示,画出输出波形(初始状态为0)。 A B C Y Y A B C & E n

7. 分析函数 F AB ABC =+ 所组成的电路存在何种险象。 8. 图示电路中触发器: 建立时间 t su = 20ns , 保持时间 t h = 5ns , 传输迟延时间t pdcp-Q,/Q = 30ns , 门G 迟延t pd G = 10ns , 时钟脉冲F max = ? CP J K Q D Q CP CK G

二.逻辑函数(,,) =++(本题共14分,每小题7分) F A B C ABC BC AC 1.用3-8译码器及适当门电路实现。 2.用“四选一”数据选择器及适当门电路实现。 三.分析下列电路所实现的逻辑功能(本题共16分,每小题8分)

1. 由2-5-10进制异步计数器构成的电路。 2. 由74LS163构成计数器电路。 四. 某同步时序系统的原始状态表如图示(本题15分) 1. 用隐含表法化简; CP Q A Q B Q C Q D CK 1 CK 2 R D X Qn 0 1 P Q A Q B Q C Q D T PC A B C D L P Q A Q B Q C Q D T PC A B C D L “1” “1”

北航研究生材料分析测试方法考试总结

1.拉曼光谱法、红外光谱法和紫外-可见吸收光谱法在结构分析中特 点 拉曼光谱与红外光谱同属分子振动光谱。前者中的Raman 位移相当于后者中的吸收频率,两种光谱中每条谱带都相应于分子中某官能团的振动。 但要注意,拉曼光谱与红外光谱产生的机制有着本质的区别。前者是散射光谱,后者是吸收光谱。前者是由于诱导偶极矩的变化而产生的,后者是由于固有偶极矩的变化而产生的,因此,前者对分子中的非极性基团敏感,而后者对极性基团敏感。 一些对称性较高的基团,极性很小,红外吸收很弱,但在拉曼光谱中却有较强谱带。总的来说,红外光谱更适合表征聚合物的侧基和端基,而拉曼光谱更多用于研究聚合物的骨架结构。 紫外-可见吸收光谱法: 紫外可见吸收光谱法是利用某些物质的分子吸收10~800nm光谱区的辐射来进行分析测定的方法,这种分子吸收光谱产生于价电子和分子轨道上的电子在电子能级间的跃迁,广泛用于有机和无机物质的定性和定量测定。 该方法具有灵敏度高、准确度好、选择性优操作简便、分析速度好等特点。 2.请预测化合物N-苯环-OCH2CH3的高分辨率核磁共振氢谱图,包括 化学位移、裂解数及每个峰相对强度。 由于质子所处的化学环境不同,其周围的微磁场自然不同,因此,核磁共振发生时外加的磁场强度并不相同,而是相对有一定的位移,这种吸收峰位置的差距被称为化学位移。化合物中,所处化学环境 不同的H原子有4组,所以会出现4组化学位移,分别为H1、H2、-CH2、-CH3,分布位置为7~8,0~2.由于在一个NMR 吸收峰中看到的一组质子的谱线数目与该基团中质子的数目无关,而与相邻基团中质子的数目却相关。通常来说,谱线劈裂符合(n+1)规则,因此,裂分数分别为2,2,4,3.相对强度比为各个吸收峰H原子数目比2:2:2:3。 3.简述所了解热分析基本原理。若要测定某种高聚物玻璃化转变温 度Tg,可以选用哪几种热分析方法,勾画出测量曲线,说明玻璃化转变温度点的取法。 (1)DTA原理:差热分析是在试样与参比物处于控制加热或冷却速率相同的环境中记录二者之间的温差随时间或温度的变化。 DSC原理:差示扫描量热是在试样与参比物处于控制加热或冷却速率相同的环境中记录二者之间建立零温差所需能量随时间或温度的变化。主要俩种类型,热流型和功率补偿型。 TG原理:热重分析是在程序控温下测量试样质量对温度的变化,热重分析仪的基本部件是热天平。根据结构的不同,热天平可分为水平型、托盘型和吊盘型三种。 TMA原理:热机械分析是在程序控温和加载静态载荷(压或拉)下测量样品尺寸对温度的变化。 MDA原理:对材料施加一个周期性的刺激(力或变形),材料通常会做

北航大一上工科数分期中考试试卷

北京航空航天大学2011-2012学年第一学期期中考试 工科数学分析试卷(2011.12.25) 一、计算(5’*8=40’) 1) 用Stolz 定理计算极限41233122123lim n n n n n +→∞++++L . 2) 设32()(1)x f x x x x =++,求()f x '. 3) 求极限1 0(1)e lim x x x x →+-. 4) 求函数2()(4)f x x x = -的拐点。 5) 设(cos sin )()=(sin cos )x a t t f x y a t t t =+??=-?,求d d y x . 6) 求函数()ln f x x x =在(0,)+∞上的最值. 7) 判断函数21 1()=e x n f x x -?间断点的类型. 8) 求函数2()=ln(1)f x x x ++在0x =处直到四阶的Taylor 展开(Peano 余项形式). 二、证明(15’) 1) 3 sin (0)6 x x x x >-> 2) 设函数1()=ln ()n f x x x n -+∈¢,证明()(1)!n n y x -=. 三、(10’) 设1110,0,(2),1,2,n n n A x x x Ax n A +><<=-=L ,证明不等式11n n x x A +<<对任意

n +∈¢成立,并求出极限lim n n x →∞ . 四、(10’) 用Cauchy 收敛原理证明数列2sin (sin )n n k kx x k k kx == +∑收敛. 五、(15’) 设()f x 在0x 处二次可导,且()0f x ''≠,由Lagrange 中值定理知存在0()1h θ<<,使得式子000(+)()(())f x h f x f x h h h θ'=++成立,计算或者证明下列结论: 1) 写出()f x 和()f x '在0x x =处的Taylor 公式; 2) 证明01lim ()2 h h θ→=. 六、(10’) 设()f x '在(0,]a 连续,且极限lim ()x x →'存在,证明()f x 在(0,]a 上一致连续. [附加题] 七、(10’) 以下题目任选其一: 1) 设()[01]f x ∈£,,且()0f x >,令0()max (),[0,1]t x M x f t x ≤≤=∈, 证明:函数()()lim ()n n f x Q x M x →∞??=???? 连续的充要条件是()f x 单调递增. 2) 证明开区间套定理 1. 设开区间序列(,),n n n I a b n +=∈¥ 满足12121n n n a a a b b b b -<<<<<<<

北航数电2015试题及答案

数字电子技术基础(A 卷)(无答案) 一. 解答下列问题(共40分,每小题5分) 1. 十进制数 X = 117,其ASCII 码表示为: 。 在8位机器中,[X]补 = ,[-X]补 = 。 2. 已知逻辑函数:()F A C BC A B CD =+++,直接用反演规则写出其反函数和对偶函数。 3. 用卡诺图化简逻辑函数∑∑+=)15,1013,8,2,1()14,12,7,6,0(44d m F 4. 用OC 门驱动发光二极管电路如图,若V F =2V ,I F =20mA ,试完善电路并计算电阻R=? 5. 画出图示电路的输出波形 6. 主-从JK 触发器,已知CP 、J 、K 信号波形如图示,画出输出波形(初始状态为0)。 A B C Y Y A B C & E n

7. 分析函数 F AB ABC =+ 所组成的电路存在何种险象。 8. 图示电路中触发器: 建立时间 t su = 20ns , 保持时间 t h = 5ns , 传输迟延时间t pdcp-Q,/Q = 30ns , 门G 迟延t pd G = 10ns , 时钟脉冲F max = ? 二. 逻辑函数 (,,)F A B C ABC BC AC =++(本题共14分,每小题7分) 1. 用3-8译码器及适当门电路实现。 2. 用“四选一”数据选择器及适当门电路实现。 CP J K Q D Q CP CK G

三. 分析下列电路所实现的逻辑功能(本题共16分,每小题8分) 1. 由2-5-10进制异步计数器构成的电路。 2. 由74LS163构成计数器电路。 四. 某同步时序系统的原始状态表如图示(本题15分) 1. 用隐含表法化简; 2. 自然序编码; 3. 用JK 触发器及适当门设计电路; 4. 检查是否可以自启动。 CP Q A Q B Q C Q D CK 1 CK 2 R D X Qn 0 1 A B/0 A/1 B C/0 A/0 C C/0 B/0 D E/0 D/1 E C/0 D/0 P Q A Q B Q C Q D T PC A B C D L P Q A Q B Q C Q D T PC A B C D L “1” “1”

北航数字电路期末试题及答案

1 北航数字电路期末试题及答案 数字电子技术基础(A 卷) 一. 解答下列问题(共40分,每小题5分) 1. 十进制数 X = 117,其ASCII 码表示为: 。 在8位机器中,[X]补 = ,[-X]补 = 。 2. 已知逻辑函数:()F A C BC A B CD =+++,直接用反演规则写出其反函数和对偶函数。 3. 用卡诺图化简逻辑函数∑∑+=)15,1013,8,2,1()14,12,7,6,0(44d m F 4. 用OC 门驱动发光二极管电路如图,若V F =2V ,I F =20mA ,试完善电路并计算电阻R=? 5. 画出图示电路的输出波形 路存示电中触器: 保持时间 t h = 5ns , 传输迟延时间t pdcp-Q,/Q = 30ns , 门G 迟延t pd G = 10ns , 时钟脉冲F max = ? 二. 逻辑函数 (,,)F A B C ABC BC AC =++(本题共14分,每小题7分) 1. 用3-8译码器及适当门电路实现。 2. 用“四选一”数据选择器及适当门电路实现。 三. 分析下列电路所实现的逻辑功能(本题共16分,每小题8分)

1. 由2-5-10进制异步计数器构成的电路。 2. 由74LS163构成计数器电路。 四. 某同步时序系统的原始状态表如图示(本题15分) 1. 用隐含表法化简; 2. 自然序编码; 3. 用JK 触发器及适当门设计电路; 4. 检查是否可以自启动。 一、填空题(本大题共22分) 1、(本小题3分)十进制数 126,对应8421BCD 数 。 2、(本小题2分)二进制负整数 –1011011,补码表示为 ;反码表示为 。 3、(本小题4分)逻辑函数BD AD B A D A F +++=))((的反演式为 ;对偶式为 。 4、(本小题2分)三输入端TTL 与非门如图所示,图中A 点的电位为 F 点的电位为 。 5、(本小题3F= 。

北航933控制工程综合2016年数字电路部分 (1)

以下是数字电路部分,共4题,60分 七、解答下列问题(本题共15分,每小题5分): 1. 当A 、B 、C 三个输入变量中有奇数个1时输出为1,否则为0。列出真值表,写出Y 的逻辑表达式。 2.请用如题七第2题图的卡诺图化简函数Y(A ,B ,C ,D)=∑m (0,1,4,7,910,13)+∑d (2,5,8,12,15),结果用“与非与非”形式表示。 00011110 A B CD 00011110Y 题七第2题图 3.电路如题七第3题图所示,分析电路的逻辑功能,列出真值表,写出Y 的逻辑函数式。 A B 题七第3题图

八、(本题15分)某火车站有特快、直快和慢车三种类型的客运列车进出,试用如题八图所示的最小项译码器集成芯片74LS138附加适当的门电路实现一个指示列车进站指示信号的逻辑电路。三个指示灯一、灯二、灯三分别对应特快、直快和慢车。列车的优先级别分依次为特快、直快和慢车,要求当特快列车请求进站时,无论其他两种列车是否请求进站,一号等亮;当特快没有请求,直快请求进站时,无论慢车有无请求,二号灯亮;当特快和直快列车均无请求,而慢车有请求时,三号灯亮。 题八图 九、(本题15分)电路如题九图所示,由集成16进制计数器74LS161(Q D 是高 位)和集成四位二进制数值比较器CC14585构成了一个时序电路,试分析计数器74LS161实现计数多少进制? P A>B P A=B P A B I A

2018年北航车辆工程考研(080204)考试科目、招生人数、参考书目、复习指导---新祥旭考研

2018年北航车辆工程考研(080204)考试科目、招生人数、参考书目、 复习指导 一、招生信息 所属学院:交通科学与工程学院 所属门类代码、名称:工学[08] 招生人数:15 所属一级学科代码、名称:机械工程[0802] 二、研究方向: 01 汽车动力学及其控制 02 汽车电子控制技术 03 车身设计及空气动力学 04 汽车振动与噪声控制 05 智能车辆 06 汽车轻量化技术 07 汽车发动机电控技术 08 汽车环保与新能源技术 09 发动机增压与调节技术 10 汽车发动机现代设计方法 11 车辆传动与控制 12 多学科系统动力学建模与仿真 13 汽车被动安全 三、初试考试科目: ①101思想政治理论 ②201英语一或203日语 ③301数学一 ④941流体工热综合或942机械设计综合或951力学基础或971机械工程专业综合

四、参考书目 941流体工热综合 《气体动力学基础》(第1、2、3、10章)西北工业大学出版社(2006年5月出版)王新月主编 《工程热力学》(2001年6月第三版)高等教育出版社沈维道编 《传热学》(2006年第四版)高等教育出版社杨世铭编 942机械设计综合 面向21世纪课程教材《材料力学》Ⅰ、Ⅱ高等教育出版社单辉祖编 《机械设计基础》下册(2007年第二版)北京航空航天大学出版社吴瑞祥主编 951力学基础 《理论力学》高等教育出版社 (2009-12出版)。谢传锋、王琪、程耀等 《静力学》高等教育出版社谢传锋 《动力学》高等教育出版社谢传锋 《材料力学I》高等教育出版社出版(第三版)单辉祖 《材料力学II》高等教育出版社出版(第三版)单辉祖 971机械工程专业综合 《动力学》高等教育出版社谢传锋 《机械原理》科学出版社2010年出版郭卫东 《机械原理教学辅导与习题解答》科学出版社2010年出版郭卫东 《机械设计基础下册》(25-34章)北京航空航天大学出版社吴瑞祥等 《机械设计》北京航空航天大学出版社王之栎、马纲、陈心颐编 《自动控制原理》第四版1-6章科学出版社出版胡寿松(或《自动控制原理》1-6章)(中央广播电视大学出版社出版)(孙虎章) 五、复习指导 1、参考书的阅读方法 (1)目录法:先通读各本参考书的目录,对于知识体系有着初步了解,了解书的内在逻辑结构,然后再去深入研读书的内容。 (2)体系法:为自己所学的知识建立起框架,否则知识内容浩繁,容易遗忘,最好能够闭上

相关文档
最新文档