数电四路抢答器设计

数电四路抢答器设计
数电四路抢答器设计

四路抢答器课程设计

二、技术指导和技术要求:

用集成芯片设计并制作简易四路抢答器,具体要求如下:

1.每个参加者控制一个按钮,用按动按钮发出抢答信号;

2.抢答组数分为四组,即序号A、B、C、D,对应数字编码1、2、3、4,优先抢答者按动本组序号开关,该组对应数字编码立即锁存到LED显示器上,同时封锁其他组号,并有蜂鸣器声音提示。此后其他3人再按动按钮对电路不起作用;

3.竞赛主持人另有一个按钮,用于将电路复位。

三、选择总体方案,确定电路

1、提出电路的方案

方案(1):

如图1所示为总体方框图。其工作原理为:通电源后,抢答器处于工作状态,编号显示器灯灭,主持人宣布“开始”,选手开始抢答,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,禁止二次抢答。如果再次抢答必须由主持人操作“复位”开关。

图1 电路原理框图

方案(2):

下面是用74LS175、74LS00、74LS20得到的4路抢答器的电路,如图2。

这个电路只是通过发光二极管来显示其结果,没有达到按照选手的号码来显示数字,并且其电路抢答没有声音,所要求集成块个数跟方案(1)相当,但实现功能稍逊方案(1)。

2、方案的选择与论证

比较方案(1)和方案(2),发现方案(1)需要元器件数量稍微多一点,但所需元件都是比较简易,电路不是很复杂,并且所显示的效果比方案(2)要多,达到了实验的要求。而方案(2)不能有效达到设计指标(没有声音、无数字显示),所以选择方案(1)。

图2 方案(2)电路图

四、单元电路推导和电路元器件参数计算

(1)优先锁存、门控电路:

CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱

动LED,其引脚图和功能表如图3。

图3 CD4511的引脚图和功能表

门控电路用来实现允许抢答和清零复位的功能,由一个开关R连入电路实现。分析CD4511的功能表可知,给CD4511的端口LE加高电平就能实现这一锁存功能。在抢答的准备阶段,主持人按下开关R后,CD4001 RS触发器输出端4号端口输出低电平,即CD4511的LE端口为低电平,4511处于消隐工作状态,LED数码管不显示;当第一个在抢答键按下时,5V电源通过二极管D3、D4、D5或者D6加至CD4001RS触发器S端,即1号脚输入高电平,其输出端4号脚变为高电平输出,即给LE一个高电平,锁存此时的编号,同时数码管显示相应的编号。观察CD4511的逻辑真值表发现,数码管显示“0”时与数码管显示“1”、“2”、“3”、“4”时,CD4511的输出端e、f有不同的特性,显“0”时给LE端一个低电平,显“1”、“2”、“3”、“4”时给LE端一个高电平。(2)抢答编码电路:

A、B、C、D组成1~4路抢答器,任一抢答键按下都需编成BCD码,将高电平加到CD4511的A、B、C、D、4个BCD码输入端。分析CD4511的逻辑功能表,要在数码管上显示的4个十进制编号“1”、“2”、“3”、“4”所对应输入的BCD码应为“0001”、“0010”、“0011”、“0100”,4个二进制位从左到右分别对应CD4511的D、C、B、A4个编码输入端。显示“1”、“2”、“4”比较容易实现,只需要通过按键接通电源直接将高电平加到相应的端口A、B、C。而显示“3”则在A、B端口加高电平,这通过编码二极管D1、D2来实现,按键同时接在这两个二级管的正极,二极管的负极分别接在CD4511的A、B端,只要按键接通电源就可同时给两个端口加高电平,以实现编码。按下第几号抢答键,输入的BCD码就是键的号码并自动由CD4511内部电路译码为十进制数在数码管上显示出来。抢答编码连接电路图如下图4所示:

图4 抢答编码连接电路图

(3)译码电路:

由于需要用LED数码管显示抢答的相应编号,选用常见的BCD----七段锁存/译码/驱动集成电路CD4511。其工作的逻辑真值表如表1所示。

将CD 4511的七段译码输出端A、B、C、D、E、F、G分别与数码管的7个端口连接,由于CD4511输出端的电压为5V,而数码管的前向导通电压和开门电压分别为1.66V和5V,这时CD4511与数码管连接时中间需要加限流电阻

R7~R13=668Ω,限流电阻需要小于这个阻值,这里取330Ω。连接后的译码、数显电流如下图5所示。

图5 抢答译码连接电路图

(4)声响显示电路:

在CD4511的4、5端,用集成555定时器构成多谐振荡器,连接一个音频多谐振荡电路,并联一个喇叭,组成音频多谐振荡器,使抢答成功后的提示在LED 显示及声音显示。如下图6:

图6 蜂鸣器、LED数码管显示图

五、总体电路图与电路工作原理说明

电路如图7所示。该电路完成两个功能:一是实现优先抢答的锁存、译码输出驱动LED数码管显示先抢答者的号码,同时四路抢答器发出响声,其他选手再抢答视为无效抢答;二是主持人通过“复位”按钮清除LED数码管的显示和停止响声。工作过程:抢答前,主持人按下“复位”R按钮后,RS触发器的 Q端输出为低电平,即CD4511端4号引脚接低电平,所以CD4511 处于消隐工作状态,a-g 全为 0,即LED 数码管不显示,多谐振荡器不产生振荡,蜂鸣器不响。开始抢答时,第一个抢答者按下按钮后,输入的高电平经4-3线编码电路编成对应的 8421BCD 码,然后输入到 CD4511 中,与此同时,CD4001RS触发器的S输入端1号脚输入高

电平后,其输出端4号脚变为高电平,即CD4511的LE锁存控制端接高电平,所以CD4511 处于锁存工作状态。此时CD4511输入对应的译码输出将锁存起来,根据上述4-3线编码器功能真值表和CD4511真值表,即可查到此时候LED数码管显示值(高电平记为“1”,低电平记为“0”)。此时,数码管保持显示第一个抢答者的号码,同时多谐振荡器开始产生振荡,蜂鸣器发出响声。上电复位后的时刻,CD4001 的6号脚瞬时输入高电平,4号脚输出低电平,使LED数码管不亮,多谐振荡器停止振荡,蜂鸣器不响。集成器件 CD4511 的 A、B、C 输入端分别通过R4、R3、R1接“地”(低电平)。

图7 总体电路原理图

六、元器件和实验设备清单

表2

七、电路的组装、调试、功能验证

1、测试触发器及各逻辑门电路的逻辑功能,判断器件的好坏。

⑴测试锁存功能:按原理图图7连接好CD4511逻辑门电路部分,准备测量CD4511的各个引脚的电位值,将其与上述图3 CD4511功能图相对应,高电平即为“1”,低电平即为“0”。经测试发现CD4511功能正常。测试结果如下表所示(表中数值单位为“伏”):

表3 CD4511 管脚电位测试结果

⑵测试CD4001四2输入或非门功能:按电路原理图7,测试CD4001 各个引脚电位,高电位为“1”,低电位为“0”,观察结果是否符合逻辑表达式

,其中(1)A=1号引脚,B=2号引脚,Y=3号引脚;(2)A=5号引脚,B=6号引脚,C=4号引脚。若符合逻辑表达式,则对应CD4001完好,测试发现

器件正常。测试各个引脚电位结果如下表所示(表中数值单位为“伏”):

表4

⑶测试NE555定时器组成的多谐振荡器:按原理图中图7连接好电路,蜂鸣器输出端接入示波器,观察其输出波形,若未抢答时候波形为正弦波,抢答成功后输出波形为方波,则多谐振荡电路正常。经测试发现,元器件正常。

图8 正弦波与方波

2、按原理图连接好四部分内容,检查连线无误后打开电源开关,测试抢答器的功能。

抢答开始,按下A、B、 C、D某一按钮,观察对应LED显示器,是否显示相应得数字,按A开关时候,显示数字1,B显示数字2,C显示数字3,D显示数字4 ,并且蜂鸣器发出持续的声响;按下R复位开关时候,LED数码管不显示数字,蜂鸣器声响停止。若LED显示器显示对应的开关号,并且按下其抢答开关,电路工作状态保持不变,则说明整个电路系统正常;否则就说明电路有问题,应

仔细检查各部分连线是否正常。同时检查蜂鸣器和显示器是否按照规定方案蜂鸣和显示。如果都正常则实验成功。

八、测试结果分析

经检查,各部分连线无误,各部分间的连线都正确,每个元件都正常。

检测抢答器的最终功能,先将抢答器接到5V直流电源上,按钮A置“1”,LED显示1,蜂鸣器发出声响,按下其他抢答按钮不起作用;再次将复位按钮按下,LED无显示,蜂鸣器停止发出声响。

其测试项目如下表所示:

表5

九、遇到的问题及解决

1.实验中出现的问题是电路稍微复杂,焊接该电路所需要的导线需要很多;再者在焊接电路过程中必须确保每一步焊接的电路正确,是电路搭接美观实用;最后是通过使用万用表来检测焊接电路的正确性。

2.焊接电路得保证焊点没有虚焊,为此我们焊接速度比较慢,谨慎焊接,保证每个焊点都没有虚焊。

3.在用示波器检测蜂鸣器输出端信号时候,发现信号残缺,后经过排故发现有一根导线脱焊,为此我们小组同学又重新焊接。后来再测试发现信号没有残缺。

十、设计电路的特点和改进

(1) 可靠性:整个实验电路分为四个部分,在实验的过程中,每一部分都经

过了仔细的检测,各部分功能完好;通过焊接搭接将各部分按电路图连接成完整的抢答器电路,经过检测,连接无误,最终实现抢答器的功能,保证了抢答器电路的可靠性。

(2) 易测性:抢答器电路由四部分构成,在实验的过程中,依次完成每部分,如果出现什么问题,可以随时检测该部分的功能或连线是否出现故障。

(3)实验改进:由于实验需要焊接的电线很多,以至我们在检查电路时太浪费时间而且也不方便,因此我们有必要使用印制的电路板,这样所使用的连线就会少一些。测试时候只需要把相应元器件正确安装在相应位置上即可。这样我们在使用时也会变得更方便,出现问题或故障时能很快找出问题的所在。印制的电路板如下图所示:

图9 四路抢答器印制电路板图

十一、收获与体会

这次的实验是袁雷同学为组长,负责四路抢答器方案筛选、元器件的购买、焊接电路的检查、电路测试及实验报告书写整理工作;刘宝存同学负责焊接电路、检查电路、测试电路及部分实验报告书写工作;夏振龙同学负责部分电路焊接、实验报告检查、焊接电路检查等工作;尤旗同学负责电路检查、元器件性能测试等工作;程颐同学负责陪同组长购买元器件、实验报告书写及检查等工作。在我们5人的分工合作之下完成了这次实验,通过这次实验我们收获颇多:

1.和组员拿着选定的题目觉得很简单。脑海中马上就出现了几个设计思路,但在设计的几天里才发现设计并不是那么简单。静下心来,仔细分析题目,再加上指导老师的说明与提示,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合。

2.在设计过程中,既有用过的芯片,又有没用过的,只能自己查表,分析功能,即学即用,印象很深刻。

3.既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我们认真严谨的工作作风。

十二、参考文献:

[1]《电工技术与电子技术实验》夏桂书著,中国民航飞行学院 2003.3

[2]《电工学》秦曾煌著,高等教育出版社2009.6

[3]《制作天地》韦穗林著,广西水利水电职业技术学院 2010.1

四路抢答器

数字逻辑课程设计报告 学校:诚毅学院专业:计算机0991 指导老师:刘扬课题:四路抢答器姓名:乔飞学号:2009957007

多路智能竞赛抢答器设计 一、设计内容及要求: 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能(选做) (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。 (2)参赛选手在设定时间(9秒)内抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、抢答器的组成框图 定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

中衡数电课设四路抢答器的【设计明细】

4路抢答器的设计 绪论: 随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人们在物质文明得到满足的同时更注重精神文明的追求,各种节目不断涌现,提高节目的质量尤为重要。本次设计是针对活动中用到的抢答器而设计的。抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。 现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场本文设计的抢答器,电路简单,成本较低,操作方便,灵敏可靠,具有较高的推广价值。 抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示手段指示出第1抢答者[1]。本论文设计宗旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。 功能简介:参加竞赛者对主持人提出的问题要在短时间内作出判断,并按下抢答按键回答问题。当第一个人按下按键后,同时电路将其他抢答按键封锁,使其不起作用。主持人有开时间和复位键,按下开时间后才能开始抢答,否者犯规。抢答前主持人操作开关使抢答有效,显示灯全熄灭。当四人中有人抢答有效时,其对应的显示灯亮,此时其余的开关不起作用,即其对应的显示灯灭。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

数字四路抢答器设计方案

数字四路抢答器设计方案 一、设计任务 设计并制作一个四路抢答器。 二、设计要求 1.抢答器供4人比赛用,分别有A,B,C,D表示。 2.当按下清零开关时电路复位,这时可以进行下一轮比赛。 3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,在LCD数码管上显示, 且蜂呜器发出声音。 注:选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 三、设计方案与总体设计 1.本设计主要由COMS系列数字集成电路CD4511、CD4001、NE555等组成。 2.其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 四、单元电路设计 1.开关、编码电路 电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上(只有一个为高电平的可省略二极管),其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码(BCD码)。 2.编码表 (1)这部分电路要求将编码电路送入8421码,译为十进制数(对应各个抢答者的编号)并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD-十进制译码/驱动器,并带有锁存埠。其引脚排列图如图所示:

CD4511引脚排列图: (2)显示电路如下: 3.控制电路 (1)这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其它选手按键操作无效;三是防止提前按键。(2)控制电路图

四路抢答器完整版.docx

华北科技学院 课程设计说明书 班级:电子 B071姓名:郭亚立设计题目:四路智能抢答器 设计时间:2010.1.9至 2010.1.22学号:200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

华北科技学院课程设计报告 四路抢答器设计报告 目录 一、设计任务和要求: ...................................................................................................................- 3 - 1.1设计任务 ..................................................................................................................................- 3 - 1.2设计要求 ..................................................................................................................................- 3 - 二、设计方案的选择与论证...........................................................................................................- 4 - 2.1方案的选择、论证 ..................................................................................................................- 4 - 2.2设计总方案 ..............................................................................................................................- 4 - 三、电路设计计算与分析.................................................................................................................- 5 - 3.1抢答器控制电路设计 ..............................................................................................................- 5 - 3.1.1优先编码器 74LS148 .....................................................................................................- 7 - 3.1.2锁存器 74LS279 ............................................................................................................- 9 - 3.1.374LS121 单稳态触发器: (10) 3.2定时时间电路的设计 (10) 3.2.1计数器 74LS192 (12) 3.3控制电路和报警电路 (13) 3.3.1振荡电路 (17)

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

74LS160四路抢答器设计

江汉大学文理学院课程设计报告课程设计题目多路抢答器 部(系)信息技术学部 专业电子信息工程2班 姓名 学号 指导教师路银聚 设计日期2014年 6月10日

目录 一、设计题目 (3) 二、设计目的 (3) 三、主要内容及要求 (3) 四、基本原理及参考框图 (3) 五、设计方案 (4) 六、电路工作原理 (4) 七、仿真调试与分析 (10) 八、结论与心得 (11) 九、参考元件 (12)

一、设计题目 多路抢答器(74161/74160实现) 二、设计目的 在进行智力竞赛时,需要反映及时准确、显示清楚方便的定时抢答电路。通常多组参加竞赛,所以定时抢答设备应该包括一个总控制和多个具有显示及抢答设置的终端。 三、主要内容及要求 (1)设计一个智力竞赛抢答器,可同时提供4名选手参加比赛,按钮的编号为1、2、3、4。 (2)给主持人设置一个控制开关,用来控制系统的清零。 (3)抢答器具有数据锁存和显示的功能。主持人将系统复位后,参赛者按抢答开关,当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。 (4)设置计分电路。具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分 (5)定时功能。系统设置60秒定时时间,当有参赛者抢答成功后,定时器开始减法计数并显示,参赛选手在设定时间内回答成功,由主持人将定时电路复位;如果定时时间到,参赛者尚未回答成功,则发出报警信号,由主持人将定时电路复位。 四、基本原理及参考框图 抢答按钮 优先 编码电路 锁存器译码电路 序号 显示电路 主持人 控制开关 控制电路计时电路报警电路扬声器 计分电路 得分 显示电路 图1 总体方案原理框图

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

4路抢答器

数字逻辑电路课程设计报告 题目名称:4路抢答器 系院: 专业班级: 学生姓名: 完成日期:

摘要 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。 关键字:开关阵列电路;触发锁存电路;解锁电路;编码电路;显示电路 一,设计目的 本设计是利用已学过的数电知识,设计的4人抢答器。 (1)重温自己已学过的数电知识; (2)掌握数字集成电路的设计方法和原理; (3)通过完成该设计任务掌握实际问题的逻辑分析,学会对实际问题进行逻辑状态分配、化简; (4)掌握数字电路各部分电路与总体电路的设计、调试、模拟仿真方法。 二,整体设计 (一)设计任务与要求: 1.抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 5.如果定时时间已到,无人抢答,本次抢答无效。 (二) 设计原理与参考电路 抢答器的组成框图 抢答器的一般组成框图如下图所示。它主要由开关阵列电路、触发锁存电路、解锁电路、编码电路和显示电路等几部分组成。 1、开关阵列电路:该电路由多路开关所组成,每一名竞赛者与一组开关相对应。开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。 开关阵列电路触发锁存电路 编码电路 译码显示电路 解锁 电路

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

相关文档
最新文档