单片机六路抢答器C语言程序

单片机六路抢答器C语言程序
单片机六路抢答器C语言程序

单片机六路数显计时抢答器c语言程序#include

#define uint unsigned int

#define uchar unsigned char

unsigned char code

table[]={0xc0,0xf9,0xa4,

0xb0,0x99,

0x92,0x82,0xf8,0x80,0x90,0xc0};

sbit start=P3^6;

sbit reset=P3^7;

sbit key1=P1^0;

sbit key2=P1^1;

sbit key3=P1^2;

sbit key4=P1^3;

sbit key5=P1^4;

sbit key6=P1^5;

sbit key7=P1^6;

sbit key8=P1^7;

sbit jia=P3^4;

sbit jian=P3^5;

bit action = 0;

uchar second=10,a[7]={0};

uchar timer0_count = 0;

uchar number=0;

uchar number_display = 0;

uchar k;

void delay(uint z)

{

uint x,y;

for(x=z;x>0;x--)

for(y=120;y>0;y--);

}

void display(uchar number,uchar second) {

P2 = 0x01;

P0 = table[second];

delay(1);

P2 = 0x02;

P0 = table[number];

delay(1);

P2 = 0x04;

P0 = table[a[0]];

delay(1);

P2 = 0x08;

P0 = table[a[1]];

delay(1);

P2 = 0x10;

P0 = table[a[2]];

delay(1);

P2 = 0x20;

P0 = table[a[3]];

delay(1);

P2 = 0x40;

P0 = table[a[4]];

delay(1);

P2 = 0x80;

P0 = table[a[5]];

delay(1);

}

void start_keyscan()

{

void fengling();

while(start == 0)

{

key7=0;

display(number_display,second);

if(start == 1)

{

key7=1;

action = 1;

TR0 = 1;

}

}

}

uchar key_scan8()

{

if(key1 == 0)

{

delay(8);

if(key1 == 0)

{

number = 1;

number_display = number;

}

}

if(key2 == 0)

{

delay(8);

if(key2 == 0)

{

number = 2;

number_display = number;

}

}

if(key3 == 0)

{

delay(8);

if(key3 == 0)

{

number = 3;

number_display = number;

}

}

if(key4 == 0)

{

delay(8);

if(key4 == 0)

{

number = 4;

number_display = number;

}

}

if(key5 == 0)

{

delay(8);

if(key5 == 0)

{

number = 5;

number_display = number;

}

}

if(key6 == 0)

{

delay(8);

if(key6 == 0)

{

number = 6;

number_display = number;

}

}

if(number_display != 0)

{

return number_display;

}

else

{

return 0;

}

}

void reset_keyscan()

{

if(reset == 0)

{

delay(8);

if(reset == 0)

{

number_display = 0;

second=10;

}

}

}

void main()

{

TMOD=0x01;

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

EA=1;

ET0=1;

TR0=0;

P2=0x00;

while(1)

{

reset_keyscan();

start_keyscan();

if(jia==0&&a[number-1]<9)

{

delay(10);

while(jia==0)

display(number_display,second);

a[number-1]=a[number-1]+1;

}

if(jian==0&&a[number-1]>0)

{

delay(10);

while (jian==0)

display(number_display,second);

a[number-1]=a[number-1]-1;

}

while(action)

{

while(!key_scan8())

{

display(number_display,second);

if(second == 0)

{

second = 10;

break;

}

}

TR0 = 0;

key7=0;

delay(80);

display(number_display,second);

key7=1;

action = 0;

break;

}

display(number_display,second);

}

}

void timer0() interrupt 1 {

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

timer0_count ++;

if(timer0_count == 20)

{

second --;

timer0_count = 0;

if(second==0)

{

key7=0;

delay(60);

key7=1;

}

}

51单片机 4路抢答器

先说下我这个4路抢答器的功能: 5个按键,第五个是复位。第一个按键到第四个按键分别对应4个led 灯,只要1到4的其中任何一个按键按下,其对应的led灯就会亮,再按其他按键,不会有其他led灯亮。第五个按键进行复位,开始下一轮抢答。不多说直接上程序和protues仿真图如下: 注意:我试了下,程序有点小问题,(编译是完全通过的)我也没改出来,毕竟小弟我也才学,有大神知道的话可以给我说说,,谢谢。 #include//51头文件 sbit key0 = P3^0;//定义key0,为P3^0引脚 sbit key1 = P3^1;//定义key1,为P3^1引脚 sbit key2 = P3^2;//定义key2,为P3^2引脚 sbit key3 = P3^3;//定义key3,为P3^3引脚 sbit key4 = P3^4;//定义key4,为P3^4引脚 void main()//主函数 { while(1)死循环 { if(key0==0) {P1 = 0xfe;P3 = 0xf0;}//如果key0等于0,即闭合,led1亮,将其他三个按钮锁定为低电平 else if(key1==0) {P1 = 0xfd;P3 = 0xf0;}//如果key0等于1,即闭合,led2

亮,将其他三个按钮锁定为低电平 else if(key2==0) {P1 = 0xfb;P3 = 0xf0;}//如果key0等于2,即闭合,led3亮,将其他三个按钮锁定为低电平 else if(key3==0) {P1 = 0xf7;P3 = 0xf0;}//如果key0等于3,即闭合,led4亮,将其他三个按钮锁定为低电平 if(key4==0) //复位按钮按下闭合,则复位 { P1 = 0xff;P3 = 0xff; } } }

单片机六路抢答器C语言程序

单片机六路数显计时抢答器c语言程序 #include <> #define uint unsigned int #define uchar unsigned char unsigned char code table[]={0xc0,0xf9,0xa4, 0xb0,0x99, 0x92,0x82,0xf8,0x80,0x90,0xc0}; sbit start=P3^6; 》 sbit reset=P3^7; sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; < sbit key8=P1^7; sbit jia=P3^4; sbit jian=P3^5; bit action = 0; uchar second=10,a[7]={0}; uchar timer0_count = 0; uchar number=0; uchar number_display = 0; ( uchar k; void delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=120;y>0;y--); } void display(uchar number,uchar second) # { P2 = 0x01; P0 = table[second]; delay(1); P2 = 0x02; P0 = table[number]; delay(1); P2 = 0x04; % P0 = table[a[0]]; delay(1); P2 = 0x08; P0 = table[a[1]]; delay(1); P2 = 0x10; P0 = table[a[2]]; delay(1); ' P2 = 0x20; P0 = table[a[3]];

六路抢答器电路设计教(学)案

《数字电子技术》 项目二设计与制作六路抢答器电路任务三六路抢答器电路制作与调试 教 案 名称: D触发器的应用 课程:数字电子技术 专业:应用电子技术 课时: 6课时

任务三六路抢答器电路制作与调试本次任务,是针对D触发器的应用,完成六路抢答器电路的制作和调试。【设计思想】 本节课以项目任务为载体,以学生自主学习为中心,通过小组合作的组织形式,为学生营造一个自主学习的环境。充分利用多媒体教学手段结合项目设计,步步设疑、环环相扣、贯通主线,引导学生自主探究,通过学生动手实践,电路调试来发现问题、探究问题、解决问题,以提高实践、交流、协作等各方面的能力,为将来成为高技能型人才做好铺垫。 【教学容】 课程标准要求能根据具体的工作任务,完成简单数字电路的设计。该课题理论知识来源于由高等教育出版,阎石老师主编的《数字电子技术基础》中第三章第3节。对于职业学校的学生,重点是培养解决实际问题的能力,学以致用。因此,参考课程标准采用项目教学,设计了项目二:设计与制作六路抢答器电路来完成这次的教学任务。 本节是时序逻辑电路的基础容,为今后学习时序逻辑电路奠定理论基础,具有重要的理论指导作用。通过本课的学习,让学生明确数字电路的制作和调试方法,体会到所学知识点相互之间的联系及在实际中的应用,因此占有非常重要的地位。 这部分知识也是数字电路入门的门槛之一,更是将来从事EDA行业的启蒙知识,因此,要求学生有较强的分析能力和综合能力。 本项目共有三个任务: 1. 完成基本D触发器的功能测试; 2. 用集成D触发器设计电路原理图; 3. 根据电路原理图完成六路抢答器的制作与调试。 这次课主要针对最后一个任务:六路抢答器的制作与调试进行教学设计。【教学目标】 ?知识目标: 1.掌握D触发器的基本逻辑功能。 2.理解集成D触发器的工作原理。 ?技能目标: 1.通过项目调试和分析,培养学生分析问题、解决问题的能力。 2.通过项目拓展,培养学生查阅资料、利用资源的能力。 ?情感目标: 1.通过项目教学、引导探究,培养学生合作精神。 2.体验工程职业素养在学习与工作中的重要意义。

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

基于51单片机的6路抢答器

摘要 此次设计选择使用AT89C51单片机为核心的控制元件,设计制作一个简易的抢答器。本设计是以AT89C51单片机作为控制的主要核心,LED显示器,蜂鸣器等六路抢答器的程序,并且利用了单片机的延迟时电路,时钟电路,键复位电路以及定时器/中断等其他电路。六路抢答器的设计特点是让选手应答时间与选手号码实时显示出来,利用复位电路开始新一轮的比赛或者游戏,我们使用的也是我们所掌握的C 语言来进行编程,实现了一些基本功能。 该系统的设计是可行的,以确定准确,简便,强烈的扩展能力。它的体现的功能主要是比赛开始时,主持人读完题目后按下抢答键,语音提示答题开始,提示音结束后开始倒计时,这时数码管开始进行10s 的倒计时,当有选手进行抢答时,选手按下抢答键,这时候数码管显示屏上就会显示出对应答题者的编号以及抢答所剩余的时间。如果10秒计时时间到了还没有人做出抢答,蜂鸣器就会发出声音并且语音提示抢答结束,这一题就作废即所有人均不得分,然后开始新一轮的抢答。在下一轮抢答开始之前按下复位键将时间归零,再按下开始键进行新的一轮。抢答者回答正确后,评审员按下加分键,该选手编号所对应的数码管显示的数字就增加(按一次加一分,最高显示9分)。相反,如果抢答者回答错误,在抢答者分数不为0的情况下,评审员按下减分键,该选手编号所对应的数码管显示数字就减少(按一次减一分,最低显示0分)。 关键词:单片机、AT89C51、抢答器 Abstract:The design options using AT89C51 microcontroller as the core control elements, design a simple Responder. The design is based on the six-way Responder AT89C51 microcontroller as the main core control, LED display, beeper and other procedures, and use of the single-chip delay circuit, clock circuit, key reset circuit and a timer / interrupt other circuits. Six-way Responder design feature is to allow players the response time and the player numbers displayed in real time, using the reset circuit to start a new round of the competition or game, we used our disposal C language programming, to achieve some basic functions. The design of the system is feasible to determine the accurate, simple, strong expansion capability. Its main function is to reflect the start of the game, the host title after reading press answer key, voice

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

基于80C51单片机的八路抢答器设计分析

专业论文 题目:基于80C51单片机的八路抢答器设 计

摘要:八路智力抢答器是一个可供八个参赛组进行智力竞赛的电路装置,该装置主要是由单片机最小系统、控制电路(八个选手抢答按钮;三个主持人控制按钮;四个修改按钮)、数码显示电路与蜂鸣器电路组成的。单片机(MCU)是目前在电气控制技术中广泛应用的重要元件。它具有体积小,稳定性高,应用范围广,控制能力强,升级改造容易等诸多优点。本论文介绍采用ATMEL公司AT89S52单片机设计八路智能抢答器。软件采用汇编语言编程,汇编语言属于计算机领域的低级语言,具有简明易懂,执行效率高等的优点。智能八路抢答器具有抢答时间与答题时间调整,抢答错误报警提示等功能,可以广泛应用于各类知识竞赛。 关键词:抢答器;单片机;硬件系统;软件编程

基于80C51单片机的八路抢答器设计 一、系统概述与原理方框图 在文中,我对八路抢答器的总体设计及其主要的功能特点进行简单的分析,并给出它的特点,实现的功能以及系统的简单操作,以对单片机及其控制系统的了解。 (一)单片机技术发展的概述与系统问题的提出 目前,单片机正朝着高性能和多品种方向发展,单片机的发展正朝着 CMOS化,低功耗,小体积,大容量,高性能,低价格和外围电路的内装化等 几个方面 发展。近几年,由于某种原因CHMOS技术的进步,大大地促进了单片机的CMOS 化,此种芯片除了低功耗外,还具有功耗的可控性,使单片机可以工作在功 耗精细管理状态,特别是IIC,API等串行总线的引入,可以使单片机的引脚 设计得更少,单片机系统结构更加简化及规范化。 我们设计出的8路抢答器是一种基于MCS-51单片机的硬件和软件设计及 实现方法,这种电路设计具有按键有效提示,输入错误提示,控制报警电路, 在线修改功能等多种功能,保密性强,灵活性高,特别适用于家庭!办公室!学 生宿舍及宾馆等场所。它具有全集成化,智能化,高精度,高性能,高可靠 性和低价格等优点,是一个值得推广的一种方法。接下来我们就对方案与设 计原理方框图进行比较分析。 (二)设计思路与系统组成及主要特点 为了使设计更具有针对性,使用性更强,我对其进行精心的设计,在设 计过程中,我们想到了很多的设计方案。 1.设计思路 设计一个八路抢答器,可同时供8名选手或者8个代表队参加比赛,他 们的编号分别为1——8,各用一个抢答器按钮,按钮的编号与选手的编号相 对应,分别设为S1…S8。节目主持人设置一组控制开关,用来控制系统的清 零和抢答器的开始,修改抢答时间与答题时间,如果想调节抢答时间或答题 时间,按"抢答时间调节"键或"答题时间调节"键进入调节状态。并且抢答器具 有数据锁存和显示的功能,抢答开始,若有选手按动抢答按钮,编号立即锁

PLC的六路抢答器设计

PLC的六路抢答器设计 摘要 伴随我国经济文化水平以及实业公司的不断的壮大,对于商业活动中公正的竞争与裁决面临了一个瓶颈,比如很多的证券公司、股票与基金的交易加上不同单位组织的各种智力竞赛等,面对于交易上遇到的种种障碍,抢答器孕育而生。随着抢答器的不断完善,由最初的优先权编码器组成的抢答器逐渐发展成为PLC 以及单片机组成的微型抢答器,把原来的复杂线路简单化、运算速度快速化、抗干扰能力强并且大大提高的它的可靠性、精确性与功能多样性。更能满足商业或者单位对于公然、公正、公平性质的交易尤其对于参加知识智力竞赛以及一些活动的抢答器的需求,深受广大群众的青睐。本设计将以西门子PLC为核心控制器,设计一个六路抢答器。设计内容包括主要由系统结构图、梯形图、程序指令等实现在选手知识竞赛时抢答者的座位号的控制,及时公正的反应出抢答者的抢答内容实现计分功能。 关键词:PLC;梯形图;抢答器;可编程序控制器 ABSTRACT With China's economic and cultural levels and industrial company continues to grow, for business activities in an impartial competition and award of facing a bottleneck, such as many securities companies, fund transactions with different units of the various intelligence contest, etc., in the face of on the hand is easy to encounter all sorts of obstacles, conceived and students. With the continuous improvement of the responder, composed of initial priority encoder responder gradually developed into composed of PLC and single chip micro responder, the original complex has the advantages of simple circuit,impartial competition and award is strong and greatly improve the reliability, accuracy and multiple functions of. To meet the business unit or the demand for openness, fairness of the transaction the face of by the people of all ages. This design will be

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

六路抢答器设计报告

六路数字抢答器设计报告 目录 一、任务设计和要求 (2) 二、设计方案与论证 (4) 三、电路设计计算与分析 (5) 3.1 主持人控制电路 (5) 3.2 10S倒计时电路 (7) 3.3 控制显示电路 (10) 3.4 主要元器件介绍 (12) 四、总结与心得 (18) 五、附录 (19) 附录一:元器件清单 (19) 附录二:六路抢答器原理图 (20) 附录三:六路抢答器的仿真 (21) 六、参考文献 (22) 1

一、设计任务和要求 六路数字抢答器的设计任务如下: 1.主持人按动启动按钮,抢答开始,同时开始10秒倒计时。 2.6名抢答选手编号分别为1-6,各自控制一个按钮进行抢答,有人按下时扬声器给出声音提示,倒计时电路停止计时,同时显示抢答选手的号码。 3.选用七段LED作为显示器。 4.完成电路的理论设计。 5.参数的计算和有关器件的选择。 6. 对电路进行仿真。 7.撰写设计报告书一份:A3图纸1张。报告书要求写明以下内容:(B5纸) (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (3)仿真过程的实现 课程设计要求如下: 课程设计大体可分成以下三个阶段: 1.设计与计算阶段 学生根据课程设计任务、要求和条件进行总体方案的设计,通过论证和选择,确定总体方案。此后是对方案中单元电路 2

进行选择和设计计算,包括元器件的选用和电路参数的计算。最后画出总体电路图,选用元件一览表。 2.计算机仿真及电路制版 运用仿真软件EWB或MULTISIM对设计电路进行仿真,排除电路故障、调整元器件参数、修改电路,使之达到设计指标要求。最后使用PROTEL软件完成对电路的PCB制版(选作)。 3.撰写设计报告阶段 设计报告是学生对课程设计全过程的系统总结。学生应按规定的格式撰写设计报告。设计报告的主要内容有: 1)课题名称。 2)设计任务和要求。 3)方案选择与论证。 4)原理框图,总体电路图、计算机电路仿真图,以及它们的说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 5)收获体会、存在问题和进一步的改进意见等。 3

基于AT89C51单片机的四路抢答器课程设计

河北建筑工程学院 课程设计计算说明书 题目名称:单片机原理及应用课程设计 院系:机械工程学院 专业:机械电子工程 班级:机电112 学号: 2011322214 学生姓名:孙宏财 指导教师:张东辉 职称:讲师 2014年 7 月 3 日

目录 前言 (2) 一、硬件系统设计 (3) 1、硬件设计思路及系统框图 (3) 2、系统分析 (3) 3、抢答器总电路图 (4) 二、软件系统设计 (5) 1、系统功能分析及程序流程图 (5) 2、软件系统程序的编制 (6) 3、运用Keil软件进行程序的调试 (9) 三、基于P ROTEUS和K EIL的软硬件联合仿真 (10) 1、上电初始状态 (10) 2、开始按钮按的状态 (10) 3、有人抢答的状态 (11) 四、心得与体会 (12) 五、参考文献 (12)

基于AT89C51单片机的抢答器设计 前言 单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分为如下几个范畴。 1、在智能化仪表上的应用。 2、在工业控制中的应用。 3、在家电中的应用。 4、在计算机网络和通信领域中的应用。 5、单片机在医疗设备领域中的应用。 目前世界上单片机生产商很多,如INTEL、Motorola、NEC、AMD等公司其主流产品有几十个系列,几百个品种。 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用单片机的应用介绍

单片机课程设计 八路抢答器(C语言)

单片机课程设计 8路抢答器 指导老师:宋跃 姓名:陈志祥学号:201241311121 班级:12电子卓越班

目录 一绪论 (3) 二基本设计方案 (4) 三具体设计 (5) 四电路板的制作 (7) 五电路功能测试与调试 (7) 六心得体会 (8) 附录 (9)

一绪论 1.1单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了更确切的知道哪一组或哪一位选手先抢答到题,必须要有一个系统来完成这个任务。若在抢答中,只靠人的视觉(或者是听觉)是很难判断出哪一组(或哪一个选手)先抢答到题的。利用单片机编程来设计抢答器,可以使以上问题得以解决,即使两组的抢答时间相差几微秒,也能轻松的分辨出哪一组(或哪个选手)先抢答到题的。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 1.2设计要求: 一、基本功能: 1、六路抢答,抢答有效时相应的灯亮,并有音乐提示; 2、每轮抢答需主持人按“开始”后,抢答才有效; 3、抢答无效时,有相应的灯及音乐提示; 二、扩展功能: 1、扩展到8路或以上; 2、抢答倒计时提示; 3、各路的参赛者有得分显示; 4、其他自行增加的功能; 二基本设计方案 2.1 单片机的选择 我选择STC89C52单片机芯片的理由如下: 1、在设计过程中可能会出现很多问题,89C52可重复烧程序; 2、是80C51的增强型,功能与我们所学的51单片机基本相同;

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

六路数字抢答器的设计

摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对他的认识也逐步加深。人们也利用了电子技术以与相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手问答完成后,主持人将系统恢复至零。 关键词:控制电路; 报警电路; 定时电路

目录 摘要 (Ⅰ) 1绪论.............................................................................. 错误!未指定书签。 1.1设计背景.............................................................................. 错误!未指定书签。 1.2设计任务与要求.................................................................. 错误!未指定书签。2总体设计方案............................................................... 错误!未指定书签。 3 系统详细设计 (3) 3.1抢答器电路 (3) 3.2定时电路 (4) 3.3报警电路 (5) 3.4相关元器件的介绍 (5) 4安装与调试 (8) 4.1调试 (8) 4.2安装 (9) 5系统仿真与测试 (11) 5.1仿真步骤..................................................................... 错误!未指定书签。 5.2仿真结果与分析............................................................................................ 错误!未指定书签。6收获与体会............................................................................. 错误!未指定书签。元件清单.......................................................................... 错误!未指定书签。参考文献......................................................................错误!未指定书签。

用51单片机制作4路抢答器

用51单片机制作4路抢答器 此抢答器具有限时抢答,超时无效的特点,并可以对主持人未喊开始而提前抢答的犯规情况作出判断。由于用了单片机,所以电路很简单。懒得写译码程序,也不想做驱动电路。干脆直接用了一片74LS48译码驱动器来驱动数码管。 ?呵呵,面包板上插一下,由于之前在Proteus中仿真过,所以直接就正常运 行了~上一张实物图 ?左边的是STC89C52的最小系统版,P1口上接了8个LED,当时做流水灯的。直接拿来用了。P2口是显示输出,P3口接受按键。那个小的芯片就是 74LS48啦~下方的是编程器+电源,STC的芯片就是编程方便,支持在线烧写,这么小巧的编程器~ ? ?当然最重要的是程序,附上代码清单。写的比较烂,竟然上了100行,希望不要被大虾们骂得太惨>_#include#defineuintunsignedchar ?//计时变量uints= 0,ms= 0; ?//枚举类型:记录抢答器工作状态enumStat{ Idle= 0,//空闲状态,比如正在读题Ready= 1,//就绪状态,可以抢答Respond= 2,//响应状态,有人抢到了}stat;?//时钟中断服务程序voidTimer()interrupt1using1{ TH0=0x3C; TL0=0xBD; ms++; s+=ms/20; ms%= 20; s%= 60;} ?//重置时钟voidResetTimer(){ EA= 1;//允许CPU中断ET0= 1;//定时器中断打开TMOD= 1;//设定时器为方式TR0= 0;//关定时器ms= 0; s= 0;} ?//优先编码(反向输入)uintEncode(uintc){ uinti,mask= 1; if(c== 0)return0; for(i= 0;ivoidmain(){ uintled= 0xff;//对应P1口,指示灯uintdisp= 15;//对应P2口,数

基于某AT89C51单片机六路抢答器的设计

学号:xxxxxxxxxx 课程设计报告 基于AT89C51单片机抢答器的设计 院系电子信息工程学院 专业电子信息工程 班级 1 姓名xxx

摘要 单片机由于其微小的体积和极低的成本,广泛的应用于家用电器、工业控制等领域中。在工业生产中,单片微型计算机是微型计算机的一个重要分支,也是颇具生产力的机种。单片微型计算机简称单片机,特别适用于控制领域,故又称微控器。学校和电视台等单位场举办各种比赛,抢答器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观判断造成比赛的不公平性。抢答器是一名公正的裁判员,他由主体电路与扩展电路组成。单片机由于其微小的体积和极低的成本,广泛的应用于家用电器、工业控制等领域中。在工业生产中,单片微型计算机是微型计算机的一个重要分支,也是颇具生产力的机种。单片微型计算机简称单片机,特别适用于控制领域,故又称微控器。学校和电视台等单位场举办各种比赛,抢答器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观判断造成比赛的不公平性。抢答器是一名公正的裁判员,他由主体电路与扩展电路组成。 AT89C51是一种带4K字节FLASH存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪速存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。外形及引脚排列如图所示。 本设计是六路智力抢答器。使用51系列单片机,编写应用程序来实现智力抢答功能。硬件设计使用的是51系列单片机中的89C51。硬件设计利用其中断控制程序进行抢答部分的处理,通过非门的控制去申请单片机内部的中断,以达到显示抢答的目的。软件设计利用中断系统的基本构成原理编写中断服务程序,其信号由按键电路提供,由CPU响应中断,并输出响应。用到了查询按键模块、定时器模块、显示时间模块、显示组号模块、报警模块等。 关键词:89C51 中断定时器报警电路等

基于51单片机的8路抢答器

基于51单片机的8路抢答器 摘要 此次设计提出了用AT89S51单片机为核心控制元件,设计一个简易的抢答器,本方案以AT89S51单片机作为主控核心,与晶振、数码管、蜂鸣器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断等电路,设计的八路抢答器具有实时显示抢答选手的号码和抢答时间的特点,还有复位电路,使其再开始新的一轮的答题和比赛,同时还利用汇编语言编程,使其实现一些基本的功能。 本设计的系统实用性强、判断精确、操作简单、扩展功能强。它的功能实现是比赛开始,主持人读完题之后按下总开关,即计时开始,此时数码管开始进行30s的倒计时,直到有一个选手抢答时,对应的会在数码管上显示出该选手的编号和抢答所用的时间,同时蜂鸣器也会发出声音,以提示有人抢答本题,如果在规定的60s时间内没有做出抢答,则此题作废,即开始重新一轮的抢答。在抢答和回答时间的最后5s,蜂鸣器都会给予报警提示。 关键词:单片机、AT89S51、抢答器

目录 第一章前言 (1) 第二章各模块的选择和论证 (3) 2.1抢答器显示模块选择 (3) 2.2 控制器选择 (4) 2.3 键盘选择 (5) 2.4 时钟频率电路的设计 (7) 2.5 复位电路的设计 (7) 2.6 报警电路 (8) 2.7 AT89C51单片机简单概述 (8) 2.7.1 AT89C51单片机的结构 (8) 2.7.2 AT89C51单片机管脚说明 (9) 第三章模块最终方案的设计 (12) 3.1总体设计思路 (12) 3.2 功能介绍 (12) 3.3 抢答器的软件设计 (12) 3.4 数码显示软件设计 (13) 第四章系统调试与仿真 (15) 4.1 软件调试问题分析 (15) 4.2 Proteus 仿真 (16) 第五章电路板的制作与检查 (17) 5.1 焊接的问题及解决 (17) 第六章总结 (18)

六路抢答器的设计与制作

毕业设计(论文)(2008届) 题目六路抢答器的设计与制作 系别信息电子系 专业应用电子技术 班级 姓名 指导教师 2008年 5 月26 日

目录 摘要 (3) 第1章抢答器的设计要求及功能 (4) 1.1 设计要求 (4) 1.2 扩展功能 (4) 1.3 设计电路方框图 (4) 第2章抢答器主要电路设计 (5) 2.1译码驱动设计 (5) 2.2 开始提示电路设计 (6) 2.3 定时电路设计 (7) 第3章电路扩展设计 (9) 3.1可预置时间的定时电路 (9) 3.2 报警电路 (10) 第4章电路的制作与调试 (12) 心得体会 (13) 参考文献 (14) 致谢 (15) 附录一六路抢答器的整体电路图 (16) 附录二电路元器件明细表 (17) 附录三芯片内部结构图及引脚图 (18)

六路抢答器设计与制作 【摘要】数字电路在日常生活中的应用很多,随着它的发展,其应用将会越来越广泛。工厂、学校和电视台等单位常举办各种智力比赛,抢答器是必要设备。抢答器是一名公正的裁判员,它由主体电路与扩展电路组成,其基本原理是主持人按开始按钮示意开始,利用一个优先编码器,译出最先抢到答题权的选手的编号,并经LED显示器显示出来,同时还要封锁电路以防其他选手再抢答。本文所介绍了一种六路抢答器的设计与制作。【关键词】抢答;锁存;计时;显示

第1章 抢答器的设计要求及功能 1.1设计要求: 1、设计一个同时可供6名选手或6个代表队参加比赛,他们的编号分别为“0”、“1”、“2”、“3”、“4”、“5”各用一个抢答按钮,编号与参赛者的号码一一对应,分别为“S0”、“S1”、“S2”、“S3”、“S4”、“S5”。 2、抢答器具有数据锁存功能,并将锁存的数据用LED 数码管显示出最先抢答成功者的编号。抢答器对抢答选手动作的先后有很强的分辨能力,即使他们的动作仅相差几毫秒,也能分辨出抢答者的先后来,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且阻止其它抢答者的序号。 3、给节目主持人设置一个控制开关,用来控制系统清零“S8”和抢答开始“S9”。 1.2 扩展功能 1、当主持人按下“S8”开关,使得抢答器再次进入禁止状态,选手编号的LED 数字显示器灯熄灭,电路进入原来的初始状态,准备进入下一轮的抢答。 2、抢答器具有定时抢答的功能,且一次抢答的时间长短由主持人设定,当主持人启动“S9”开关后,定时开始绿灯亮红灯灭允许作答,定时结束绿灯灭红灯亮不得作答。 1.3 设计电路方框图 根据抢答器的功能要求,电路框图如图1.1所示: 图1.1 电路框图 抢答按钮 编码器 数码显示器 锁存器 开始按钮 振荡器 扬声器 复位按钮 定时按钮 定时器 显示器 七段显 示译码器

相关文档
最新文档