四人抢答器电路的设计与制作

四人抢答器电路的设计与制作
四人抢答器电路的设计与制作

四人抢答器电路的设计与制作

作者:严晶

【摘要】抢答器是工厂、机关、学校等单位广泛开展知识竞赛活动时不可缺少的设备。我本次毕业设计的四人抢答器电路主要运用集成芯片CD4511等作核心元件,构成四路抢答器,实现设计指标的要求:电路具有自锁及互锁的功能;用数码管显示抢答者的号码,同时该路抢答器发出响声;主持人通过“复位”按钮清除LED数码管的显示和停止响声。

【关键词】抢答器译码显示 RS触发器编码电路电路

前言

毕业设计是高校实现培养目标的重要教学环节,对大学生的创新精神、实践能力和综合素质的培养有着十分重要的作用,同时也是衡量高校办学质量和办学效益有重要评价内容。通过设计本课题,让学生对所学过的数字电路知识进行综合复习与运用。并且通过设计,组装与调试硬件电路等的操作,锻炼学生的实践能力与电路调试能力,同时也锻炼学生的论文书写能力。

本次毕业设计的内容是:自选适当的数字集成电路及分立元件,设计出一款简易的模拟四人抢答器电路,要求设计出的电路能够实现以下功能:1、4人抢答,每人1个控制开关;2、电路具有自锁及互锁功能;3、有“复位”和“开始”功能,“复位”时不能抢答;

4、用数码管显示抢答者的序号。根据以上内容,结合学习过的知识,我对毕业设计内容作了如下分析:1、用数、模电知识完成四路数字抢答器的设计与制作;2、设计的电路可同时供四组选手参加比赛,各用一个按钮,他们的编号分别是A,B,C,D,;3、主持人设置一个控制开关R,用来控制系统的清零和抢答的开始;4、抢答器具有数码锁存功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止;

5、用数码管显示抢答着的序号。

第1章设计方案的论证及选择

一设计方案的论证

根据设计任务书的要求,我初步拟定了以下两种设计方案,每种方案的原理框图及简单工作原理介绍如下。

1、设计方案一

图1 方案一原理框图

该设计方案中的抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的序号显示出来,并且有报警声。当主持人将按钮开关拨到“清除”状态,抢答器电路处于禁止状态;当某选手首先按某一开关时,可通过编码器进行编码,触发锁存电路被触发而开始工作,并在锁存器中执行锁存功能,在输出端产生相应的开关电平信号,同时为防止其它选手的按钮开关随后按下,进而触发而产生影响,最先产生的输出电平变化又反过来将触发电路锁定。然后显示相应的序号,并报警。

2、设计方案二

图2 方案二原理框图

该设计方案中的抢答器实现以下功能:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,数码管显示序号,有报警声,并禁止第二轮抢答。如果再次抢答必须由主持人再次操作复位开关。

在该设计方案中:

编码电路由按钮开关和二极管组成,利用二极管的单向导电性进行编码,将四路抢答输入电平信号分别编码成对应的BCD码。由于电路工作速度很快,几乎不会出现多人同时刻抢答情况,即使有多人同时抢答,抢答的结果也是无效的。所以将多人同时刻抢答输入看成任意项。抢答输入为高电平,用“1”表示;无抢答输入为低电平,用“0”表示。

控制电路由4-7线译码/驱动器CD4511构成。根据输入的高低电平不同进行锁存、复位和译码。

锁存器及控制电路由集成CMOS或非门CD4001构成RS触发器。其①脚为S输入端,⑥脚为R输入端,接复位开关,按下按钮开关后,输入高电平,④脚为Q端输出高电平到控制电路。

显示电路由共阴数码管和电阻组成。译码电路输出经220~330欧的电阻限流后加到LED 数码管各相应的阳极。显示出抢答者的序号。

报警电路用三极管和电阻组成,用555定时器构成多谐振荡器,产生振荡信号,供给后续电路使用,采用蜂鸣器作为报警器件。

二设计方案的选择

我将第一种和第二种方案比较之后觉得第二种方案更适合设计思想,更能体现毕业设计的要求,可以实现任务书中,要求用数码管显示抢答者序号的要求。同时根据本次毕业设计的精神“电路最简单,调试最方便,元器件来源有保障,安全可靠,成本最低”,同时也为了贯彻毕业设计的“创新,挑战自己”的宗旨。

综合各方面因素考虑,设计方案二充分的满足了本次毕业设计的要求,同时在元器件来源上可以得到保障,最终决定选用设计方案二进行毕业设计。

第二章 单元电路设计

1、编码电路设计

图3 编码电路

编码电路由按钮开关和二极管组成,利用二极管的单向导电性进行编码,将四路抢答输入电平信号分别编码成对应的BCD 码。由于电路工作速度很快,几乎不会出现多人同时刻抢答情况,即使有多人同时抢答,抢答的结果也是无效的。所以将多人同时刻抢答输入看成任意项。抢答输入为高电平,用“1”表示;无抢答输入为低电平,用“0”表示。如表4—3线编码器真值表。

4—3线编码器真值表

用卡洛图化简输出a 、b 、c 、d 与输入A 、B 、C 、D 的逻辑函数表达式:

a=A+C,b=B+C,c=D,d=0

根据化简后逻辑函数表达式,用二极管D1和D2分别表现a=A+C,b=B+C 。 4输入或门电路,实现S=A+B+C+D

控制电路由4-7线译码/驱动器CD4511构成。根据输入的高低电平不同进行锁存、复位和译码。

输入 输出(8421BCD 码) 备 注

D C B A d c b a 0 0 0 0 0 x x x 无人抢答,BI =0,LED 不亮

0 0 0 1 0 0 0 1 A 抢答,显示1

0 0 1 0 0 0 1 0 B 抢答,显示2 0 1 0 0 0 0 1 1 C 抢答,显示3 0

1

D 抢答,显示4

2、RS触发器设计

锁存器及控制电路由CMOS的集成

或非门CD4001构成RS触发器。其①脚

为S输入端,⑥脚为R输入端,接复位

开关,按下开关后,输入高电平。④脚

为Q端输出高电平到控制电路。

3、编码译码电路设计图4 RS触发器电路

图5 编码译码电路

译码电路和译码显示电路由4-7线译码/驱动器CD4511构成。将编码电路输出的信号进行编码,译码。

显示电路由共阴数码管和七个电阻组成。译码电路输出经220~330欧的电阻限流后加到LED数码管各相应的阳极。显示成抢答者相应的序号。

4、报警电路设计

图6 报警电路

报警电路用二极管D3、D4、D5、D6和电阻R5组成用集成555定时器构成多谐振荡器。采用蜂鸣器作为发音器件。CD4511译码输出经220~330欧的电阻限流后加到LED数码管各相应的阳极。

第三章主要元器件功能介绍

1、BCD码4—7线译码/驱动器(CD4511)

CD4511是具有锁存功能的BCD码4—7线译码/驱动器。CD4511能将输入的二一十进制码(8421BCD码)译成七段码(a~g),驱动共阴极LED数码管。它是16脚双列直插式COMOS的集成器件,引脚排列如图所示。其各引脚功能如下:

VCC、GND分别是正、负电源端,电源电压范围是3~18V.

A1、A2、A3、A4是8421BCD码输入端。

a~g是七段译码输出,高电平有效。

LT是灯测试端。当LT=0时,无论其它输入端状态如何,此时a~g全为1,LED所有段全亮。可利用此来检查数码管的好坏。

BI是消隐控制端。当BI=0,且LT=1时,a~g全为0,数码管不亮。

LE是琐存控制端。当LE=0时选通,LE=1时锁存。

2、NE555时基电路

用555时基电路组成的自激多谐震荡器时,

它的5脚为控制端,片内接比较器的同时输入端

其电位为2/3V

CC

。用555时基电路组成自激多谐

振荡器时,一般将5脚通过一个小电容接地,以

防止外界干扰对阈值电压的影响。

1脚GND为接地端。

2脚TR加在比较器C

2的信号输入端也称触发输入端,由此输入触发脉冲U

I2

,当2端

的输入电压高于1/3V

CC 时,C

2

的输出为“1”,当输入电压低于1/3V

CC

时,C

2

的输出为“0”,

使基本RS触发器置“1”。

3脚OUT为输出端,输出电流可达200mA,因此可直接驱动继电器,扬声器等。输出高电压略低于电源电压V

CC

4脚R为直接复位输入端,由此输入负脉冲时,触发器直接复位,正常工作必须接高电平。

5脚CO为控制电压输入端,经0.01UF的滤波电容接“地”,以防止干扰的引入,提高参考电压的稳定性。

6脚为阈值输入端。

7脚为放电端,都要外接上拉电阻。

8脚为电源端。

3、四2输入正或非门(CD4001)

把四2输入正或非门(CD4001)的各任意输入端并联在一起就成了非门,利用或非门的一个输入端受控,就可以组成脉冲键控多谐振荡器。根据其逻辑关系,当控制端为低电平时,电路停止振荡,当控制端为高电平时,电路振荡。因此,在控制端加上控制脉冲,就组成了脉冲振荡器。

第四章电路的组装与调试

1、编码电路组装与调试

按照编码单元电路设计图在面包板上进行合理插接,接通+5V直流电源到编码单元电路。测试编码电路,当按下按钮开关A之后,将万用表打到直流电压档测试,分别测试输入端A、输入端B、输入端C、输入端D。输入端A输出高电平,输入端B、输入C、输入D 都是低电平,切断电源后再次接通电源到该单元电路,按下按钮开关B之后,再按按钮开关A、C、D,再将万用表打到直流电压档测试,分别测试输入端A、输入端B、输入端C、输入端D。B端输出高电平,A端、C端、D端都是低电平。可见,编码电路的工作正常,实现了互锁。

2、RS触发器电路的组装与调试

按照RS触发器单元电路设计图在面包板上进行合理插接,接通+5V直流稳压源。测试RS触发器,将万用表打到直流电压档测试,测试RS触发器的Q输出端和S输入端。任意按下按钮开关A、B、C、D之后,RS触发器的S输入端高电平,Q输出端变为高电平。再按任意按钮,Q输出端保持高电平不变。当按下复位按钮R后,R输入端瞬时输入高电平,Q 输出端输出低电平。可见,RS触发器工作正常。

3、译码和显示电路组装与调试

按照译码和显示单元电路设计图在面包板上合理进行插接,接通+5V直流稳压源,测试译码和显示电路。当按下按钮开关A,用万用表测试BCD码4—7线译码/驱动器CD4001输出端A、C为高电平,其余输出端为低电平,数码管显示1。当按下按钮开关B,用万用表测试BCD码4—7线译码/驱动器CD4001输出端A、B、G、E、D为高电平,其余输出端为低电平,数码管显示2。当按下按钮开关C,用万用表测试BCD码4—7线译码/驱动器CD4001输出端A、B、G、C、D为高电平,其余输出端为低电平,数码管显示3。当按下按钮开关D,用万用表测试BCD码4—7线译码/驱动器CD4001输出端F、G、B、C为高电平,其余输出端为低电平,数码管显示4。可见,译码和显示工作正常。

4、报警电路组装与调试

按照报警单元电路设计图在面包板上进行合理插接,接通+5V直流稳压源,用示波器测试NE555的3号输出端,显示一个幅度3.6V,频率为53.48Hz的方波。并发出报警的声音。

5、整机电路调试

所有单元电路在面包板上插接完毕之后,接通+5V电源,按照设计的工作流程对整机电路进行系统调试,调试情况如下:依次试验各路抢答按钮开关,要搞清楚数码管的显示与抢答按钮开关的对应关系。某一路按下,与之对应序号就会亮起来,同时有报警声。如果某一路出问题,就应检查与那路相应的元器件是否组装正确。

在这过程中的组装与连接还算比较顺利。在整个过程中一定要认真对待每一步,熟练掌握各种电子器件的使用。

6、测试参数及波形记录

将万用表打到直流档分别测试三极管9013的三个电极电压。⑴三极管截止时:

U e =0V,U

b

=0V,U

c

=4.57V,U

e

=U

b

c

;⑵三极管工作时:U

e

=0V,U

b

=0.64V,U

c

=1.63V,U

e

b

c 用示波器测试555时基电路的3号端输出波形如下所示:

第五章心得体会

本次我毕业设计的课题是四路抢答器电路的设计与制作,运用的是学过的数字电路和模拟电路的知识。在电路方面,我对CD4511、CD4001集成芯片的内部结构不太清楚,在网上查了很都资料才对其有一定的了解。回想起做毕业设计的整个过程,颇有心得体会,其中有苦也有甜。没有接受任务以前觉得毕业设计只是对前面所学知识的一种检验,也是对自己能力的一种提高。不管学会的还是学不会的的确觉得困难比较多,真是万事开头难,不知如何入手。还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用到的时候才发现是两回事,所以我认为只有到真正会用到的时候才是真的学会了。在这个过程里将以前所学的知识进行了综合的运用,发现了自己很多不足的地方,理论知识与实际应用之间的距离也充分的体现了出来。当然,更多的是学到了很多的东西,为以后的设计打好基础。

本课题是在穆春林老师精心指导和大力支持下完成的。在此要感谢我的指导老师对我的指导,感谢老师给我这样的机会锻炼。穆春林以其严谨求实的治学态度、高度的敬业精神、兢兢业业、孜孜以求的工作作风和大胆创新的进取精神对我产生重要影响。他渊博的知识、开阔的视野和敏锐的思维给了我深深的启迪。树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。同时,在此次毕业设计过程中我也学到了许多了关于电子电路方面的知识,实验技能有了很大的提高。

另外,我还要感同学们对我的无私帮助,使我得以顺利完成论文。同时感谢实验室的张海燕老师积极为我们提供元器件,还有杨毅德教授对我们设计成品的指导,在此我也衷心的感谢他们。

最后,再次对关心、帮助我的同学和老师表示衷心的感谢。

【参考文献】

[1] 张庆双. 灯光控制经典实用电路. 机械工业出版社,2007。

[2] 童诗白. 模拟电子技术基础(模拟部分)北京:高等教育出版社,1988。

[3] 张志悦. 数字电路设计与实用电路. 广州:华南理工大出版社.1991。

[4] 张宪,何宇斌. 电子电路制作指导. 化学工业出版社,2006。

[5] 周良汉,方向乔. 数字电子技术基础. 高等教育出版社,2002。

[6] 叶挺秀. 电工电子学. 北京:高等教育出版社,2000。

[7] 刘秋艳,刘景文,胥宝萍,任志娟. Protel99 SE电路设计. 中国铁道出版社,2005。

附录A 元器件清单表

序号名称规格型号位号数量

1 电阻器

20K R1、R2、R3、

R4、R5、R6

6个

330欧R7、R8、R9、

R10、R11、R12、

R13、R16

8个

12K R14 1个5.1K R15 1个20欧R17 1个

2 电解电容1uF C1 1个

3 瓷片电容0.01uF C2、C3 2个

4 二极管IN4148 D1、D2、D3、

D4、D5、D6

6个

5 4输入双或非

CD4001 U1 1个

6 二进制七段译

码器

CD4511 U2 1个

7 555 NE555 U3 1个

8 共阴数码管SM42036 U4 1个

9 三极管9013 Q 1个

10 开关A、B、C、D、R 5个

11 蜂鸣器LS 1个

附录B 电路总电路图

附录C:整机电路图

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

四人抢答器课程设计报告

电子技术课程设计 智力竞赛抢答器 姓名:郑亚林 班级:08级电子一班 学号:200800800461 指导老师:李素梅

四人智力竞赛抢答器 一、设计目的 1、掌握四人智力竞赛抢答器电路的设计。 2、熟悉数字集成电路的设计和使用方法。 3、掌握应用Multisim软件对电路的设计及仿真 二、设计任务与要求 1、设计任务 设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。 (2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时30秒答题倒计时的功能。当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。 三、四人智力竞赛抢答器电路原理及设计 1、设计思路 抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。 2、系统框图 抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

四人抢答器电路设计

课程设计说明书 题目: 四人抢答器电路设计 课程名称:数字电子技术 ______ 学院:电子信息与电气工程学院 学生姓名:_____________ 裴雷雨 _________ 学号:____________ 20110201011X ______ 专业班级:自动化2011级2班 指导教师:______________ 李立 __________ 2013年6月6日

课程设计任务书

四人抢答器电路设计 摘要:本文介绍设计了一个四人抢答器控制电路,该电路能够实现四人比赛抢答的功能并通过发光二极管和蜂鸣器实现对抢答者的声光报警指示。其中抢答电路用四D触发器74LS175、与非门74LS00和555 定时器实现;报警电路用一个上拉电阻、发光二极管、蜂鸣器等实现抢 答声光指示器;显示电路用74LS74 D触发器、74LS192计数器、74LS47 译码器(驱动器)和七段共阳极数码显示器实现;时钟电路用计数器 74LS192、555 定时器、74LS47 译码器(驱动器)、七段共阳极数码显 示器和双D 触发器74LS47 共同完成十秒倒计时的时钟电路。 关键词:抢答器;声光报警;定时电路;显示电路;时钟电路

目录 1?设计背景 (1) 1.1数字电路系统 (1) 1.2时钟电路的作用及基本构成 (1) 1.3Multisim 软件和DXP 软件.. (1) 2?设计方案 (2) 2.1分析任务 (2) 2.2论证方案 (2) 2.3电路分析.. (2) 3.方案实施... .. . (3) 3.1设计原理图...... (3) 3.2用Multisim 电路仿真.. (10) 3.3制作PCB ...... (12) 3.4安装与调试... . ...... . ... . (12) 4.结果与结论...... .. (12) 5.收获与致谢......... . ............ . (13) 6.参考文献............ . ...... . (13) 7. ............................ 附件 ........................................... .................... .. (14) 7.1电路原理图......... . (14) 7.2PCB 布线图………… ... ………… . ………………………… (15) 7.3实物图........................ .. ...... . (16)

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

数字电子技术课程设计报告四人抢答器精修订

数字电子技术课程设计报告四人抢答器 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

数字逻辑电路 课程设计报告系(部):三系 专业:通信工程 班级: 12 通信 2 班 姓名:杨超 学号: 成绩: 指导老师:李海霞 开课时间: 2013-2014 学年 2 学期

一、设计题目 数字式竞赛抢答器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1) 在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢 答器,每组设定一个抢答按钮供参赛者使用。4名参赛者编号为:1、2、3、4,按钮的编号与选手的编号对应,也分别为1、2、3、4。 (2) 设置一个系统清零和抢答控制开关K=space(该开关由主持人控 制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3) 抢答器具有一个抢答信号的鉴别、锁存及显示功能。即参赛者的开关 中任意一个开关被按下,锁存相应的编号,并在抢答显示器上显示该编号,同时扬声器发声。此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。 (4) 抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定 时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬

声器响。参赛者在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5) 如果抢答定时间截止,却没有选手抢答时,本次抢答无效。系统扬声 器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%;

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

课程设计报告---四人抢答器

课程设计报告设计题目四人抢答器 摘要

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。 关键字 抢答电路定时电路报警电路时序控制

目录 摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1 设计任务 (4) 2.2 设计要求 (4) 第3章系统设计 (5) 3.1设计方案 (5) 3.2 系统设计 (5) 3.2.1 结构框图及说明 (5) 3.2.2 系统原理图及工作原理 (6) 3.3单元电路设计 (7) 第4章软件仿真 (12) 4.1 仿真电路图 (12) 4.2 仿真过程 (12) 4.3 仿真结果 (14) 第5章安装调试 (14) 5.1 安装调试过程 (14) 5.2 故障分析 (15) 第6章心得体会 (16) 附录使用元件清单 (18) 参考文献 (18)

第1章概述 随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。 智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。 第2章课程设计任务及要求 2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计4组参赛的抢答器,每组设置一个抢答按钮。 (2)给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。 (4)抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。 2.2 设计要求

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

四路抢答器 (完整版)

华北科技学院 课程设计说明书 班级:电子B071 姓名:郭亚立 设计题目:四路智能抢答器 设计时间: 2010.1.9 至 2010.1.22 学号: 200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

四路抢答器设计报告 目录 一、设计任务和要求: ................................................................................................................... - 3 - 1.1设计任务 (3) 1.2设计要求 (3) 二、设计方案的选择与论证 ........................................................................................................... - 4 - 2.1方案的选择、论证 (4) 2.2设计总方案 (4) 三、电路设计计算与分析 ................................................................................................................. - 5 - 3.1抢答器控制电路设计 (5) 3.1.1 优先编码器74LS148 ..................................................................................................... - 7 - 3.1.2 锁存器74LS279 ............................................................................................................ - 9 - 3.1.3 74LS121单稳态触发器:.......................................................................................... - 10 -3.2定时时间电路的设计 (10) 3.2.1 计数器74LS192 ............................................................................................................ - 12 -3.3控制电路和报警电路 (13) 3.3.1 振荡电路....................................................................................................................... - 17 -

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

相关文档
最新文档