16×16点阵LED电子显示屏的设计

16×16点阵LED电子显示屏的设计
16×16点阵LED电子显示屏的设计

16×16点阵LED电子显示屏的设计

机器人创新设计

课程设计报告书

题目:16×16点阵LED电子显示屏的设计

姓名:张津

学号:1613010320

专业:国际经济与贸易

指导老师:于大泳

设计时间:2017年3月

管理学院

目录

1. 引言 (3)

1.1 设计意义 (3)

1.2 系统功能要求 (3)

2. 方案设计 (4)

3. 硬件设计 (5)

4. 软件设计 (8)

5. 系统调试 (9)

6. 设计总结 (11)

7. 附录A.:源程序 (11)

8. 附录B.:作品实物照片 (16)

9. 参考文献 (17)

16×16点阵LED电子显示屏的设计

1.引言

1.1 设计意义

目前广告牌具有显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。

1.2 系统功能要求

本设计是一16×16点阵LED电子显示屏的设计。整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。开关控制显示“矿大电气五班”、“电子综合设计”的字幕。

同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y,16*16LED点阵如图1.2.1所示。

图1.2.1

2.方案设计

16X16点阵LED工作原理说明 : 16X16点阵共需要256个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的亮的方法如下所述:一根竖柱:对应的列置1,而行则采用扫描的方法来实现。一根横柱:对应的行置0,而列则采用扫描的方法来实现。需要实现的功能如下图流程图图2.2所示

图2.2

本电路使用AT89C51实现行驱动,对显示模块从上至下的扫描,用74HC154和三极管实现列驱动,对显示模块从左至右的扫描,然后显示字符。在中规模集成电路中译码器有几种型号,使用最广的通常是74HC154译码器,74HC154是一款高速CMOS 器件,74HC154引脚兼容低功耗肖特基TTL (LSTTL )系列。

2.硬件设计

3.1 单片机系统及外围电路 3.1.1 单片机的选择

本设计选用了AT89C51单片机作控制3.1.2 AT89C51芯片介绍

概述:AT89C51为40 脚双列直插封装的8 位通用微处理器,采用工业标准的C51内核,在内部功能及管脚排布上与通用的8xc52 相同,其主要用于会聚调整时的功能控制。功能包括对会聚主IC 内部寄存器、数据RAM 及外部接口等功能部件的初始化,会聚调整控制,会聚测试图控制,红外遥控信号IR 的接收解码及与主板CPU 通信等。主要管脚有:XTAL1(19 脚)

16*16

三极

列扫示

模块

行扫

时钟

复位

和XTAL2(18 脚)为振荡器输入输出端口,外接12MHz 晶振。RST(9 脚)为复位输入端口,外接电阻电容组成的复位电路。VCC(40 脚)和VSS(20 脚)为供电端口,分别接+5V电源的正负端。P0~P3 为可编程通用I/O 脚,其功能用途由软件定义,在本设计中,P0 端口(32~39 脚)被定义为N1 功能控制端口,分别与N1的相应功能管脚相连接,13 脚定义为IR输入端,10 脚和11脚定义为I2C总线控制端口,分别连接N1的SDAS(18脚)和SCLS(19脚)端口,12 脚、27 脚及28 脚定义为握手信号功能端口,连接主板CPU 的相应功能端,用于当前制式的检测及会聚调整状态进入的控制功能。AT89C51的引脚图如下图3.1所示:

图3.1

3.1.3 单片机系统外围电路

单片机外围电路一般有两块:时钟电路(如图 3.1.3)和复位电路(3.1.4)

时钟电路由一个晶振和两个小电容组成,用来产生时钟频率。

复位电路由一个电阻、按键和一个电容组成,用来产生复位信号,使单片机上电的时候复位。

图 3.1.3

AT89C52单片机芯片内部有一个反向放大器构成的振荡器,XTAL1和XTAL2分别为振荡器电路的输入端和输出端,时钟可由内部和外部生成,在XTAL1和XTAL2引脚上外接定时元件,内部振荡电路就会产生自激振荡。系统采用的定时元件为石英晶体和电容组成的并联谐振回路。晶振频率选择12MHz,C1、成的电容值取22PF,电容的大小频率起微调的作用

图3.1.4

单片机有多种复位电路,本系统采用电平式开关复位与上电复位方式,当上电时,C1相当于短路,使单片机复位,在正常工作时,按下复位时单片机复位。在有时碰到干扰时会造成错误复位,但是大多数条件下,不会出现单片机错误复位,而可能会引起内部某些寄存器错误复位,在复位端加一个去耦电容,则会得

到很好的效果。

3.2 驱动电路

3.2.1 74HC154芯片简介

74HC154译码器可接受4位高有效二进制地址输入,并提供16个互斥的低有效输出。74HC154的两个输入使能门电路可用于译码器选通,以消除输出端上的通常译码“假信号”,也可用于译码器扩展。该使能门电路包含两个“逻辑与”输入,必须置为低以便使能输出端。任选一个使能输入端作为数据输入,74HC154可充当一个1-16的多路分配器。当其余的使能

输入端置低时,地址输出将会跟随应用的状态。

3.2.2 驱动电路的构成

本设计的驱动电路由三极管的集电极输出给点阵显示屏,使其足够亮。其驱动电压为5V。行驱动由P0口列驱动电路如图3.3.2所示:

图3.2.2

3.3 16*16LED显示屏电路和原理

16*16LED显示屏电路在proteus仿真中由四个8*8LED点阵组成的,其中二极管的正极控制器也就是AT89C51,负极接译码器也就是74HC154。显示屏可以显示字符、汉字、动画等任何图形。该电路充分利用了单片机的I /O口资源.使整机硬件达到最简。

16*16点阵的原理:点阵LED扫描法介绍点阵LED一般采用扫描式显示,实际运用分为三种方式:(1)点扫描;(2)行扫描;(3)列扫描。若使用第一种方式,其扫描频率必须大于16×64=1024Hz,周期小于1ms即可。电路如图3.3所示:

图3.3

3.4 电源电路

本设计采用电源线直接从家用电路中接入电源,经变压为5V,为电路供电。

3.软件设计

本软件要求实现如下要求:汉字要稳定、明亮并且文字要以一定速度上升滚动显示。

显示屏软件模块:初始化程序、主程序、多字滚动、显示程序、扫描程序。显示程序的主要功能是向屏体提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。软件设计中,显示屏的软件系统分为两层;第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向屏体送显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动器程序由定时器T0中断程序实现。系统应用程序完成系统环境设置(初始化)、显示效果处理等工作,由主程序来实现。

4.1显示驱动程序

显示驱动程序在进入中断后首先要对定时器T0重新赋初值,以保证显示屏刷新率的稳定,1/16扫描显示屏的刷新率(帧频)计算公式如下:

刷频率(帧频)=1/16×T0溢=1/16×f/12(65536-t ) 其中f 位晶振频率,t 为定时器T0初值(工作在16位定时器模式)。

然后显示驱动程序查询当前燃亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发送给移位寄存器。为消除在切换行显示数据的时候产生拖尾现象,驱动程序先要关闭显示屏,即消隐,等显示数据打入输出锁存器并锁存,然后再输出新的行号,重新打开显示。图六为显示驱动程序(显示屏扫描函数)流程图

图4.1.1 4.2系统主程序

进入中断

定时器赋初值 读取行号并增加1 送新行显示数据

消 隐

切换显示数据

发送新行号,打开显示

退出中断

本设计的系统软件能使系统LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形和文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。

系统主程序开始以后,首先是对系统环境初始化,包括设置串口、定时器、中断和端口;然后以“卷帘出”效果显示图形,停留约几秒;接着向上滚动显示“--------”这几个汉字及一个图形,然后以“卷帘入”效果隐去图形。由于单片机没有停机指令,所以可以设置系统程序不断的循环执行上述显示效果。

单元显示屏可以接收来自控制器(主控制电路板)或上一级显示单元模块传输下来的数据信息和命令信息,并可将这些数据信息和命令信息不经任何变化地再传送到下一级显示模块单元中,因此显示板可扩展至更多的显示单元,用于显示更多的显示内容。如果想改变些事内容,先用字模产生字代码,将用这段代码覆盖原来的代码,即可显示你想要的内容。

图4.2.1是系统主程序流程图。

开始

系统初始化

“卷帘出”显示效果

“上滚屏”显示效果

“卷帘入”显示效果

5.系统调试

◆调试主要分为硬件调试和软件调试:

硬件调试:在焊接电路板的时候,应该从最基本的最小系统开始,分模块,逐个进行焊接测试。在对各个硬件模块进行测试时,要保证软件正确的情况下去测试硬件,要不然发生错误时,不知道到底是哪一方出错了。当然,在设计的过程中也存在着失误和不足,在调试中进行修改了。焊接完成后,检验点阵、及线路的好坏:烧进检验程序,点阵将自第一排向下(上)点亮。检验无异常,下载主程序

◆软件调试:软件为老师所提供提供,其原理在上一模块以作说明,在这里

再作说明,软件经调试无误,直接将其下再到单片机中,看是否达到所要的效果

软件部分是先参考书上的例子,然后自己根据硬件电路写程序,

由于以前所学是单片机汇编语言,所以这个系统在编写程序过程中都采用汇编语言编写。刚刚开始,编写不会一次性通过,经过仔细分析修改最后编译成功。但是,在实际写如S51中,LED显示屏出现各种各样的乱码,通过再次认真仔细分析多次修改程序后,程序能够正常运行

6.设计总结

本文设计的点阵LED图文显示屏,能够在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示的图形和文字较稳定、清晰。

在本次设计过程中,我们得到以下体会:通过两个星期和大家的一起的努力,我收获了很多,本设计是用四个8x8的点阵显示模块组成的16x16的点阵L

ED图文显示屏,能够在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形和文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。本系统具有硬件少,结构简单,容易实现,性能稳定可靠,成本低等特点。

总结本文的研究工作,主要做了下面几点工作:

一、通过查阅大量的相关资料,详细了解了LED的发光原理和LED显示屏的原理,了解了LED的现状,清楚地了解了LED显示屏与其它显示屏相比较有那些优点,明确了研究目标。并且通过对单片机资料的查阅和应用,更进一步增加了对单片机知识的理解和运用能力。并证实了自己的思路:“查资料→思考总结→运用→找出差错,再查资料和向别人询问→再次运用”的正确性。

二,本文设计的LED显示屏能够实现在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形和文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。

三,本文列出了系统具体的硬件设计方案,硬件结构电路图,软件流程图和具体C语言程序设计与调试等方面。

四,通过这次课程设计,重新复习并进一步增强了动手的能力,学以致用,把只是运用到实际生活中才是根本目的

总体来说这次的课程设计不算成功,没达到预想的目的:但学到了知识,提高了能力,完成了任务。有点缺憾是时间有限,实物没能做成功,不能进一步深入和扩散学习和研究。希望有时间可以对程序和电路图作更进一步的改进.

7.附录A:源程序

***************************************

; * *

; * 单个16x16的点阵电子屏字符显示器 *

; * ATA89C52 12MHz晶振 *

; * 2004.2.11 LRM *

; ***************************************

;显示字用查表法,不占用内存,字符用16x16共阳LED点阵,

;效果:向上滚动显示5个字,再重复循环。

;R1:查表偏址寄存器,B:查表首址,R2:扫描地址(从00~0FH)。;R3:滚动显示时控制移动速度,单字显示可控制静止显示的时间。;*************;

;中断入口程序;

;*************;

ORG 0000H

LJMP START

ORG 0003H

RETI

ORG 000BH

LJMP INTTO

ORG 0013H

ERTI

ORG 001BH

ERTI

ORG 0023H

RETI

ORG 002BH

RETI

;***********;

;初始化程序;

;***********;

;***********;

;主程序;

;***********;

START: MOV 20H,#00H ;清零标志,00H为第16行开始扫描标志,01为1帧

;扫描结束标志

MOV A,#0FFH ;端口初始化

MOV P1,A

MOV P1,A

MOV P3,A

MOV P0,A

CLR P1.6 ;串行寄存器输入打入输出控制位

MOV TMOD,#01H ;使用T0做16位定时器,行扫描用。

MOV TH0,#0FCH ;1ms初值(12MHz)

MOV TL0,#18H

MOV SCON,#00H ;串口0方式传送显示字节

MOV IE,#82H ;T0中断允许,总中断允许

MOV SP,#70H

MAIN: LCALL DISI ;显示准备,黑屏,1.5s

MOV DPTR,#TAB

LCALL MOVDISP ;向上滚动显示一页(8个字)

INC DPH

LCALL MOVDISP ;向上滚动显示一页(8个字)

INC DPH

LACLL MOVDISP ;向上滚动显示一页(8个字)

AJMP MAIN

;***********************;

;多字滚动显示程序;

;***********************;

;每次8个字,入口时定义好DPTR值

MOVDISP: MOV B,#00H ;向上移动显示,查表偏值暂存(从00开始)

DISLOOP: MOV R3,#07H ;移动速度

DISMOV: MOV R2,00H ;第0行开始

MOV R1,B

SETB TR0 ;开扫描(每次一帧)

WAITMOV: JBC 01H,DISMOV1 ;标志为1扫描一帧结束(16ms为1帧,每行1ms)

AJMP WAITMOV

DISMOV1: DJNZ R3,DISMOV ;1帧重复显示(控制移动速度)

INC B ;显示字的下一行(每行2字节)

INC B

MOV A,R1 ;R1为0,8个字显示完

JZ MOVOUT

AJMP DISLOOP

MOVOUT: RET ;移动先是结束

;****************;

;单字显示程序;

;****************;

;显示表中某个字;

;****************;

DIS1: MOV R3,#5AH ;静止显示时间控制(16ms*#=1.6s)DIS11: MOV R2,#00H ;一帧扫描初始值(行地址从00~0FH) MOV DPTR,#TAB ;取表首址

MOV R1,#00H ;查表偏址(显示第一个字)

SETB TR0 ;开扫描(每次一帧)

WAIT11: JBC 01H,DIS111 ;为1,扫描一帧结束

AJMP WAIT11

DIS111: DJNZ R3,DIS11

RET

;************;

;扫描程序;

;************;

;1ms刷新一次,每行显示1s

INTT0: PUSH ACC

MOV TH0,#0FCH ;1ms初值重装

MOV TL0,#18H

JBC 00H,GOEND ;16行扫描标志为1,结束

INC R1 ;取行右边字节偏址

MOV A,R1

MOVC A,@A+DPTR ;查表

MOV SBUF,A ;串口0方式发送

WAIT: JBC TI,GO ;等待发送完毕

AJMP WAIT1

GO: DEC R1 ;取行左边字节偏址

MOV A,R1

MOVC A,@A+DPTR

MOV SBUF,A

WAIT1: JBC T1,GO1

AJMP WAIT1

GO1: SETB P1.7 ;关行显示,准备刷新

NOP ;串口寄存器数据稳定

SETB P1.6 ;产生上升沿,行数据打入输出端

NOP

NOP

CLR P1.6 ;恢复低电平

MOV A,R2 ;修改显示行地址

ORL A,#0F0H ;修改显示行地址

MOV R2,A ;修改显示行地址

MOV A,P1 ;修改显示行地址

ORL A,#0FH ;修改显示行地址

LED点阵显示屏实验报告解析

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

LED点阵书写显示屏设计方案

LED点阵书写显示屏设计方案 第一章设计任务及要求 1.1、任务 设计并制作一个基于32x32点阵LED模块的书写显示屏,其系统结构如图1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态(如下图中光笔接触处的深色LED点已被点亮),从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 图1.1 LED点阵书写显示屏系统结构示意图 1.2、要求 (1)在“点亮”功能下,当光笔接触屏上某点LED时,能即时点亮该点LED,并在控制器 上同步显示该点LED的行列坐标值(左上角定为行列坐标原点)。 (2)在“划亮”功能下,当光笔在屏上快速划过时,能同步点亮划过的各点LED,其速度 要求2s能划过并点亮40点LED。 (3)在“反显”功能下,能对屏上显示的信息实现反相显示(即:字体笔画处不亮,无笔 画处高亮)。 (4)在“整屏擦除”功能下,能实现对屏上所显示信息的整屏擦除。

第二章系统整体框架 系统整体框架图如图一所示,分为控制模块、显示模块、光笔模块、LED点阵模块和辅助模块(包括键盘、数据存储等)。 图2.1 系统整体框架图

第三章方案论证与比较 3.1、控制模块 在数字信号处理中,常用的控制器有FPGA、DSP及嵌入式51单片机。 FPGA可以直接用硬件扫描、编码、解码、纠错,速度快、稳定性高,但其价格昂贵,很多的功能在本设计难以使用到。 DSP都有较快的数据处理速度,能实时地、快速地监测信号量的变化,但其受采样频率的限制,处理频率围有限。 AT89S52 是一种低功耗、高性能CMOS 8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案,具有硬件的设计十分简单,软件开发周期短等特点。 考虑到价格、功耗及系统的要求,最终选用AT89S52单片机为控制系统。 3.2、光笔模块 光笔设计的关键是选择合适的传感器件,只有具有很高的灵敏度和一定的响应时间的传感器才能完成系统的要求及功能。 方案一:采用核心部件为光敏电阻制成的光笔检测系统。光敏电阻是将光能转换为电能的一种传感器件,它是构成光电式传感器的主要部件。光敏电阻结构简单、使用方便、价格便宜,但其响应时间长,不易检测。 方案二:采用光敏二极管,与光敏电阻相比有较好的高频特性,具有较好的可靠性,功耗低,且同样价格低廉,使用方便。 比较两种器件,系统设计中选用光敏二极管制作光笔模块。 3.3、LED点阵模块 LED点阵的显示方式有以下几种: 1)在LED点阵上贴上一层触摸屏,形成压膜式LED点阵。把触摸屏的信息通过微处理器处理来控制LED点阵显示。这种显示方式准确,反应速度快,光笔制作简单,但造价高。 2)用普通的LED点阵,在LED点阵的边上加上红外线传感接收器,构成32×32的

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

EDA(LED点阵显示屏控制系统设计)

《EDA技术与应用》 课程设计报告 题目: LED点阵显示屏控制系统设计院(系):机电与自动化学院 专业班级:自动化 学生姓名: 学号: 2014 指导教师: 2017年6月 19日至2017年 6 月23 日 *******

《EDA技术及应用》课程设计任务书

摘要:我国经济正处于发展的高峰期,也需要广大的公共场合信息公示平台,而利用LED点阵滚动显示正好符合情况,且这种方式已经成为信息传递的一种重要手段。因此,在日常生活中,点阵随处可见。通过多种控制手段,点阵还可以实现各种文字甚至图案的动态显示。在不同的应用场合,点阵的设计要求也是不同的。传统思路一般是应用单片机实现点阵控制,但该方法有一定的局限性。 该次课程设计主要研究利用VHDL语言编程来设计汉字的显示。首先描述相应的设计电路;然后叙述在16*16矩阵显示汉字的原理;最后给出描述功能的VHDL设计语言。并通过编程、调试、仿真、下载正确实现汉字滚动、扫描显示结果。 关键词: LED点阵;FPGA;VHDL语言;汉字滚动显示。

目录 1.实验要求及总体方案 (1) 1.1 实验要求 (1) 1.2 扫描显示 (1) 1.3 滚动显示 (1) 2.LED点阵显示原理 (1) 2.1 LED点阵原理 (1) 2.2汉字取模 (2) 3.扫描显示 (3) 3.1 设计基本原理 (3) 3.2计数器设计 (3) 3.3 列驱动设计 (4) 3.4 行驱动设计 (4) 4.仿真图原理图及实物图 (4) 4.1仿真图 (4) 4.2原理图 (5) 4.3实物图 (6) 5.程序 (7) 参考文献: (10)

LED点阵书写显示屏资料大全

论文一 第一章绪论 1.1课题来源 本课题来源于全国大学生电子设计竞赛LED点阵书写显示屏,它是一种控制半导体发光二极管的显示装置,其主要功能是实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示。 1.2设计任务及要求 设计并制作一个基于32×32点阵LED模块的书写显示屏,其系统结构如图1-1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED 点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态,从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 图1-1 LED点阵书写显示屏系统结构示意图 设计的最终要求是:在点亮功能下当光笔接触屏上某点LED时,能即时点亮该LED;在划亮功能下当光笔快速划过时,能同步点亮划过的各LED,其速度要求2S内能划过并点亮40点LED;在反显功能下能对屏上显示的信息实现反向显示;在屏幕擦除功能下能实现对屏上所显示信息整屏擦除;在笔画擦除功能下,能用光笔擦除屏上所显汉字的笔画;在连写多字功能下,能结合自选的擦除方式,在30S内以划亮方式写出四个汉字且存入机内;在对象拖移功能下,能用光笔将选定显示内容在屏上进行拖移,先用光笔以划亮方式在屏上圈定欲拖移显示对象,再用光笔将该对象拖移到屏上另一位置;当光强改变时,能自动连续调节屏上显示亮度;当光笔连续未接触屏面的时间超过1-5MIN

时,自动关闭屏上显示,并使系统进入休眠模式。

51单片机16X16LED点阵式汉字电子显示屏设计_毕业设计(论文)

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

H题--LED点阵书写显示屏——【全国大学生电子设计大赛】

2009年全国大学生电子设计竞赛试题 参赛注意事项 (1)2009年9月2日8:00竞赛正式开始。本科组参赛队只能在【本科组】题目中任选一题; 高职高专组参赛队在【高职高专组】题目中任选一题,也可以选择【本科组】题目。(2)参赛队认真填写《登记表》内容,填写好的《登记表》交赛场巡视员暂时保存。 (3)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者学生身份的有效证件(如学生证)随时备查。 (4)每队严格限制3人,开赛后不得中途更换队员。 (5)参赛队必须在学校指定的竞赛场地内进行独立设计和制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取消评审资格。 (6)2009年9月5日20:00竞赛结束,上交设计报告、制作实物及《登记表》,由专人封存。 LED点阵书写显示屏(H题) 【高职高专组】 一、任务 设计并制作一个基于32×32点阵LED模块的书写显示屏,其系统结构如图1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态(如图1中光笔接触处的深色LED点已被点亮),从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 1

1 图1 LED 点阵书写显示屏系统结构示意图 二、要求 1.基本要求 (1)在“点亮”功能下,当光笔接触屏上某点LED 时,能即时点亮该点LED ,并 在控制器上同步显示该点LED 的行列坐标值(左上角定为行列坐标原点)。 (2)在“划亮”功能下,当光笔在屏上快速划过时,能同步点亮划过的各点LED , 其速度要求2s 内能划过并点亮40点LED 。 (3)在“反显”功能下,能对屏上显示的信息实现反相显示(即:字体笔画处不 亮,无笔画处高亮)。 (4)在“整屏擦除”功能下,能实现对屏上所显示信息的整屏擦除。 2.发挥部分 (1)在“笔画擦除”功能下,能用光笔擦除屏上所显汉字的笔画。 (2)在“连写多字”功能下,能结合自选的擦除方式,在30s 内在屏上以“划亮”控制器 32×32 LED 点阵模块 光笔

LED显示屏基础知识

LED显示屏基础知识 (一)、概述: LED电子显示屏是集计算机技术、光电技术、微电子技术等一身的现代高科技产品。它广泛应用在车站、码头、办公大厅等公共场所,是广告宣传、新闻发布的最佳首选媒体,它不但可以播放文字、图像还可以播放VCD、DVD、TV等多媒体节目,能对其播放的文件进行编辑,利用计算机应用软件进行特殊处理后播放,还能显示时钟、天气温度等信息。 (二)、系统组成(参见LED显示屏连接示意图) 1、电子显示屏屏体 2、计算机及网络控制系统 3、通信系统 4、显示屏供配电系统 5、多媒体音、视频编辑、制作、播放系统 (三)、显卡的设置 在显示器桌面空白处单击鼠标右键,进入“属性”设置项,单击“屏幕保护程序”,将屏幕保护程序设为“无”,然后单击右下脚“电源”项,将电源使用方案下的“关闭监视口、关闭硬盘、系统待机、系统休眠”全设为“全不”然后应用该设置 回到主设置菜单,单击“设置”选项。将显示屏分辨率设为1024X768,颜色质量设为“最高32位”应用设置。 单击右下角“高级”选项,单击“监视器”项设屏幕刷新频率为60HZ, 单击“显示”项,使第四项即PDF项按扭显示为绿色,应用该设置显卡设置完毕. (四)、网线制作 使用普通8芯5类网线传输距离在100米内。 网线压线线序为:白橙、橙、白绿、蓝、白蓝、绿、白棕、棕,即国标网线568B压法。

一、相关配件说明 1、框架结构图,此图仅供参考(图1-1) 1-1 2、箱体后视结构 2.1 B、C为RJ45水晶头插座,用于连接各箱体之间的信号线。 3、控制系统 3.1 显示卡如图(1-3) ·P为数字视频输出口(DVI) ·Q为CRT显示接口 3.2发送卡如图(1-4) 1-3 ·DVI为数字视频输入口 ·U、D为RJ45信号线输出口 ·232为串口信号输入 3.3 接收卡如图(1-5) ·P为接收卡电源线输入端 ·A为RJ45信号线输入口 ·B为RJ45信号线输出口 U D 232 DVI P A B 1-4 1-5

LED点阵手写显示屏

LED点阵书写显示屏 所在院系:电气与控制工程学院 作者: 李向杰、刘赟超、符祝辉 日期:2015年07月25日

摘要 本系统以MSP40F149为核心,设计并制作了一个基于32×32LED点阵显示模块书写显示屏。该点阵可以实现扫描微亮及显示点亮两种工作方式,在扫描微亮的状态下,通过自制光电笔扫描和按键切换可实现“点亮、划亮、擦除、反显”等书写功能和“显示点亮坐标”等显示功能。硬件设计方案使用74H595来驱动LED点阵显示,通过1602液晶来显示坐标。作品技术要求不高但对扫描速度和硬件系统的搭建有较高的要求。 关键词:MSP430F149;74H595;光电三极管;LED点阵

1 系统方案设计 1.1 系统总方案设计 整个系统由MSP430F149微控制器作为系统的核心控制芯片,32*32LED点阵起到了各种形式显示的作用,通过光电三极管和LM293比较器构成光电检测电路,1602液晶显示在进行点扫描时对点坐标的显示、光敏电阻感光电路的模块组成。系统结构如图1-1所示。 图 1-1 系统结构图 2 系统方案论证 2.1主控制器选择论证 方案一:STC89C51单片机是8位单片机。其指令是采用的称为“cisc”的复杂指令集,共具有111条指令。其电源电压为5伏,有两种低功耗模式,待机方式和掉电方式。正常情况下消耗的电流为24mA,在待机状态下其耗电电流仍为3mA,为了保存RAM中的数据,还需提供约50mA的电流。再者,虽然其具有不少开发工具,但如何在线编程还是一很大问题。 方案二:CPLD(EPM240T100C5):具有丰富的I/O口、内部逻辑和连线资源、运行速度快、能够显示大量的信息,但CPLD实现运算功能复杂,在该系统中,需要显示的信息量较少,但是控制和运算功能较多,用CPLD实现一些运算功能复杂。 方案三:MSP430系列的单片机电源电压采用1,8-3.6V低电压,RAM数据保持方式下耗电仅0.1uA,IO口漏电流最大仅为50nA。另外,其采用矢量中断,支持十多个中断源,并可以任意嵌套。独特的时钟系统设计使其具有5种低功耗模式可供选择。 基于以上所述,我们选用MSP430F149型单片机。 2.2 光电检测笔选择论证

题目:16×16点阵LED电子显示屏的设计

机器人创新设计 课程设计报告书 题目:16×16点阵LED电子显示屏的设计 姓名:张津 学号:1613010320 专业:国际经济与贸易

指导老师:于大泳 设计时间:2017年3月 管理学院 目录 1. 引言 (3) 1.1 设计意义 (3) 1.2 系统功能要求 (3) 2. 方案设计 (4) 3. 硬件设计 (5) 4. 软件设计 (8)

5. 系统调试 (9) 6. 设计总结 (11) 7. 附录A.:源程序 (11) 8. 附录B.:作品实物照片 (16) 9. 参考文献 (17) 16×16点阵LED电子显示屏的设计 1.引言 1.1 设计意义 目前广告牌具有显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。

1.2 系统功能要求 本设计是一16×16点阵LED电子显示屏的设计。整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。开关控制显示“矿大电气五班”、“电子综合设计”的字幕。 同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y,16*16LED点阵如图1.2.1所示。 图1.2.1 2.方案设计 16X16点阵LED工作原理说明 : 16X16点阵共需要256个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1 电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的

基于C8051的LED点阵书写显示屏设计

基于C8051的LED点阵书写显示屏设计 本系统以高速单片机C8051F020片上系统为控制核心,设计方案采用了单片机的IO口控制4-16线译码器74HC154从而达到控制32×32LED点阵的行和列、光笔定位点阵的行与列的坐标、采用单片机的PWM波控制点阵的光亮度等等。实现“点亮、划亮、反显、整屏擦除、笔画擦除、轮流显示英文字母和“电子设计”、两点划线、两点画圆、亮度调节、抗锯齿平滑处理等书写显示功能,并且通过按键可以实现不同功能之间的切换。 标签:LED点阵;片上系统;74HC154;PWM波 1 引言 随着科技的日益发展,用户对一些电子产品提出更高的需求。比如先前的点阵屏只能显示一些时钟和广告字符等信息,但是现在人们需要该类产品能够自己通过画笔写入信息,并一直显示在LED屏上,针对这一应用,我们设计了一款以高速单片机C8051F020为核心的LED点阵屏电路板,并且系统经过各项指标测试后完全满足系统设计要求。 2 系统总体方案设计 本次设计的系统总体框架图如1图所示。根据设计要求,包括有主控电路模块,按键电路、LED32×32点阵模块、光笔电路。通过单片机选通相关的译码器,通过选通8550使之与2.5V电压导通。使点阵显示屏处于微亮状态,然后用光电笔进行光信号检测,这样就可以检测到光信号,并进行高亮,这就可以实现写状态。并且可以通过按键实现功能的切换,实现全亮,反显,擦除等等功能。 2.1 32×32 LED点阵的驱动控制电路:将两片74HC154串联使用,实现32×32 LED点阵的行驱动,这样就构成一个5-32译码器电路来进行行驱动,译码器输出的输出信号通过单片机的输出总线来控制。列的微亮扫描点亮也是采用两片74HC154串联的方式,我们采用四个片选分别对LED屏的亮度进行单独控制,设计的电路用快速三极管开关电路,分别采用2.8V电源和5V电源对微亮扫描以及点亮扫面来供电。设计采用的显示屏为1024个,显示方式为循环亮,因此我们在设计电路时取100R的限流电阻为了提高显示亮度。如图2所示为驱动电路。 微亮扫描工作时流过的电流:(2.8-1.8)/100=10.00mA 点亮点阵工作状态下流过的电流:(5-1.8)/100=32.00mA 由计算可知,流过LED电流尽管较大,但在整个运行时间段内占空比很小,因此不会影响LED灯的正常使用。

16×16点阵LED显示屏整个过程及C语言程序

16×16点阵LED显示屏整个过程及C语言程序 7.1功能要求 设计一个室内用16×16点阵LED图文显示屏,要求在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形或文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。 7.2方案论证 从理论上说,不论显示图形还是文字,只要控制与组成这些图形或文字的各个点所在位置相对应的LED器件发光,就可以得到我们想要的显示结果,这种同时控制各个发光点亮灭的方法称为静态驱动显示方式。16×16的点阵共有256个发光二极管,显然单片机没有这么多端口,如果我们采用锁存器来扩展端口,按8位的锁存器来计算,16×16的点阵需要256/8=32个锁存器。这个数字很庞大,因为我们仅仅是16×16的点阵,在实际应用中的显示屏往往要大的多,这样在锁存器上花的成本将是一个很庞大的数字。因此在实际应用中的显示屏几乎都不采用这种设计,而采用另一种称为动态扫描的显示方法。 动态扫描的意思简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(比如16行)的同名列共用一套列驱动器。具体就16×16的点阵来说,我们把所有同一行的发光管的阳极连在一起,把所有同一列的发光管的阴极连在一起(共阳的接法),先送出对应第一行发光管亮灭的数据并锁存,然后选通第一行使其燃亮一定的时间,然后熄灭;再送出第二行的数据并锁存,然后选通第二行使其燃亮相同的时间,然后熄灭;……第十六行之后又重新燃亮第一行,这样反复轮回。当这样轮回的速度足够快(每秒24次以上),由于人眼的视觉暂留现象,我们就能看到显示屏上稳定的图形了。 采用扫描方式进行显示时,每行有一个行驱动器,各行的同名列共用一个列驱动器。显示数据通常存储在单片机的存储器中,按8位一个字节的形式顺序排放。显示时要把一行中各列的数据都传送到相应的列驱动器上去,这就存在一个显示数据传输的问题。从控制电路到列驱动器的数据传输可以采用并行方式或串行方式。显然,采用并行方式时,从控制电路到列驱动器的线路数量大,相应的硬件数目多。当列数很多时,并行传输的方案是不可取的。 采用串行传输的方法,控制电路可以只用一根信号线,将列数据一位一位传往列驱动器,在硬件方面无疑是十分经济的。但是,串行传输过程较长,数据按顺序一位一位地输出给列驱动器,只有当一行的各列数据都已传输到位之后,这一行的各列才能并行地进行显示。这样,对于一行的显示过程就可以分解成列数据准备(传输)和列数据显示两个部分。对于串行传输方式来说,列数据准备时间可能相当长,在行扫描周期确定的情况下,留给行显示的时间就太少了,以至影响到LED的亮度。 解决串行传输中列数据准备和列数据显示的时间矛盾问题,可以采用重叠处理的方法。即在显示本行各列数据的同时,传送下一行的列数据。为了达到重叠处理的目的,列数据的显示就需要具有锁存功能。经过上述分析,可以归纳出列驱动器电路应具备的主要功能。对于列数据准备来说,它应能实现串入并出的移位功能;对于列数据显示来说,应具有并行锁存的功能。这样,本行已准备好的数据打入并行锁存器进行显示时,串并移位寄存器就可以准备下一行的列数据,而不会影响本行的显示。图7.1为显示屏电路实现的结构框图。

LED显示屏知识大全(扫盲专用)

LED显示屏知识大全(扫盲专用) 2017年5月

目录 2017年5月 (1) 一.LED显示屏的分类 (3) 二.LED显示屏的基本构成 (3) 三.LED显示屏涉及的名词概念 (4) 1、像素: (4) 2、显示模块: (4) 3、显示模组: (5) 4、LED显示屏屏体: (6) 5、点距: (8) 6、扫描方式: (8) 四.LED显示屏的两种常规组装方式 (9) 五.LED显示屏显示原理 (10) 六.单元板/模组认识 (11) 七.显示板芯片简介 (13) 八.控制信号与显示接口 (17) 1、单元板: (18) 2、电源: (18) 3、控制卡: (19) 4、连线: (19) 5、配件制作: (19) 6、布线指南: (20) 7. 外框制作: (22) 十.08接口转12接口原理 (29) 十二.汇总LED显示屏中的常见IC (30)

一.LED 显示屏的分类 分类方式 品 种 说 明 使用环境 室内LED 显示屏 室内LED 显示屏在室内环境下使用,此类显示屏亮度适中、视角大、混色距离近、重量轻、密度高,适合较近距离观看。 室外LED 显示屏 室外LED 显示屏在室外环境下使用,此类显示屏亮度高、混色距离远、 防护等级高、防水和抗紫外线能力强,适合远距离观看。 显示颜色 单基色LED 显示屏 单基色LED 显示屏由一种颜色的LED 灯组成,仅可显示单一颜色,如红色、绿色、橙色等。 双基色LED 显示屏 双基色LED 显示屏由红色和绿色LED 灯组成,256级灰度的双基色显示屏可显示65,536种颜色(双色屏可显示红、绿、黄3种颜色)。 全彩色LED 显示屏 全彩色LED 显示屏由红色、绿色和蓝色LED 灯组成,可显示白平衡和16,777,216种颜色。 显示功能 图文LED 显示屏(异步屏) 图文LED 显示屏可显示文字文本、图形图片等信息内容。可联网脱机显示。 视频LED 显示屏 (同步屏) 视频LED 显示屏可实时、同步地显示各种信息,如二维或三维动画、录像、电视、影碟以及现场实况等多种视频信息内容。 二.LED 显示屏的基本构成 1、异步屏: 2、同步屏:

第7题 LED点阵书写显示屏

青岛大学 电工电子实验教学中心 全国大学生电子设计竞赛 LED点阵书写显示屏 (H题) 殷凯李健李文超 2015年5月26日

LED点阵书写显示屏(H题) 摘要 本设计基于16位超低功耗单片机MSP430G2553,使用74HC595串行驱动一块16×16的LED点阵屏,由单片机控制LED点阵屏逐行逐点进行扫描,通过光敏三极管构成的光笔将LED点阵屏上扫描产生的光信号转化为电信号,并将其送入单片机自带的ADC10模块进行模数转换,根据设定的阈值电压可以判断光笔的接触点坐标,并实现“点亮、擦除、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等功能,此外还可以使用上位机显示并控制LED点阵屏的运行状态,本设计具有功耗低、使用简单、可靠性高等特点。 关键词 MSP430 LED点阵光敏三极管 74HC595 Abstract The design of ultra low power 16 bit microcontroller based on MSP430G2553, using the 74HC595 serial driver a 16 x 16 LED dot matrix screen, controlled by the microcontroller LED dot matrix screen line point by point scanning, a light pen through the phototransistor will have a scan LED dot matrix screen light signals into electrical signals, and sends it to ADC10 SCM module for analog-to-digital conversion, the threshold voltage can be judged according to the contact point of the light pen coordinate, and the realization of "light, erase, strike, anti significant, erase screen, erase, write more words, strokes object dragging" function, also can use the computer to display and control the running state of LED dot matrix screen, has the characteristics of low power consumption, high reliability, easy to use this design. Keywords MSP430 LED Dot Matrix Photosensitive Transistor 74HC595

16x16点阵显示LED

开封大学 学生毕业设计 题目点阵式汉字电子显示屏设计 年级 11级专业电子信息工程技术 班级电子3班 学生姓名苗本朋起止时间 2013.11,4-2014,05.26指导教师肖兴达职称副教授 2014年 5 月 26 日

摘要 电子显示屏的应用范围越来越广泛,它作为一个重要的宣传平台,已经受到全社会的普遍认可。本课题以单片机为控制核心,通过8x8 LED电子显示屏及相关的外围电路,设计制作了一个16x16 点阵LED电子显示屏。 本文介绍了基于AT89C51单片机点阵显示屏的设计方案,阐述了16×16点阵LED 显示屏的设计原理与思路,详细叙述了系统硬件、软件设计的具体实现过程。论文重点阐述了显示模块及相关驱动模块等的模块化设计思路与制作方法。软件部分同样也采用模块化的设计思想,显示模块,并采用简单流通性强的汇编语言编程实现。系统能实现清晰的图文伴随左移出显示功能。在实际设计调试过程中,通过肉眼观察该显示屏显示的图文是否稳定、清晰无串扰,查找造成图文不清晰的根源,确定调整方案,尽可能的使显示图文与要求相符合。 关键词:单片机;LED显示屏

目录 1 引言 (3) 1.1 课题的背景 (3) 1.2 研究目的和意义 (4) 1.3 研究内容 (5) 2 系统方案论证 (5) 2.1 方案论证 (6) 2.2模块方案确定 (6) 2.2.1 电源模块 (6) 2.2.2 单片机控制模块 (6) 2.2.3 时钟信号电路 (6) 2.2.4 复位电路 (7) 2.2.5 显示驱动模块 (7) 3 系统硬件电路设计 (8) 3.1硬件电路设计 (8) 3.2各单元电路说明 (8) 3.2.1 单片机主控模块的设计 (8) 3.2.2 16X16点阵显示模块设计 (11) 3.2.3 驱动模块电路设计 (13) 3.2.4 电源电路设计 (15) 4 系统软件设计 (18) 4.1点阵显示原理 (18) 4.2系统程序流程图 (20) 4.3系统程序 (22) 5 单片机I/O口分配 (26) 6 结果分析及总结 (26) 6.1结果分析 (26) 6.2总结 (26) 参考文献 附录1:电路图 附录2:元件清单

基于单片机STC11F32的LED点阵书写显示屏的设计

2011年10月第22卷第5期照明工程学报 ZHAOMING GONGCHENG XUEBAO Oct.2011Vol.22No.5 基于单片机STC11F32的LED 点阵书写 显示屏的设计 王海燕 高之圣 徐江海 (淮安信息职业技术学院,江苏淮安 223003) 摘 要:本系统以高速单片机STC11F32为核心,设计并制作了一个基于32?32点阵LED 书写显示屏。能够实现 扫描微亮和显示点亮两种工作模式,通过自制光笔实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能,同时该显示屏还具有坐标显示,能够根据环境光强弱的变化,自动调节显示屏上的亮度,系统还具有休眠功能。关键词:STC11F32;LED 点阵;光笔 Design of LED Lattic Writing Display Screen Based on Chip Microcomputer STC11F32 Wang Haiyan Gao Zhisheng Xu Jianghai (Huaian College of Information Technology ,Jiangsu Huaian 223003) Abstract This system founded on STC11F32,designed and produced a 32?32lattice LED writing displays.It can realize two work modes of scanning WeiLiang and display with the light pen by “light ,scratched ,reverse ,completed erase ,stroke erase ,object drag ”and so on.This screen also shows the coordinate.It can adjust the screen brightness automatically according to the change of the environment light.Besides ,the system has the sleep mode. Key words :STC11F32;LED Dot-Matrix ;lightpen 1引言 LED 点阵电子显示屏制作简单,安装方便,被 广泛应用于各种公共场合,但是这种电子显示屏只能做单一的“显示”作用,显示内容的更改、擦除等功能的实现都要在上位机上实现。能否用LED 点阵显示屏实现“书写”功能,本文基于这一想法,设计并制作一个基于32?32点阵LED 模块的书写显示屏,基本结构如图1。 主要采用STC11F32单片机为主控制器,通 过图1 LED 点阵书写显示屏系统结构示意图 自制光笔在屏上实现“点亮、划亮、反显、整屏擦 除、笔画擦除、连写多字、对象拖移”等书写显示 功能。

LED16×16点阵汉字显示屏设计

XX科技职业学院 毕业论文 LED16×16点阵汉字显示屏 LED 16 x 16 bitmap characters display screen 院系:电子科技学院 专业班级:08电信(1)班 学生姓名: 学号: 指导教师姓名: 指导教师职称: 二O一O 年十一月

目录 第一章绪论 (1) 第二章任务设计……………………………………………………… 2.1 设计依据 (40) 2.2 要求及主要内容……………………………………………………… 2.3 途径和方法.....................................................................第三章AT89C51单片机概述 (52) 3.1 AT89C51单片机的结构…………………………………………… 3.2 管脚说明 (60) 3.3 振荡器特性…………………………………………………………… 第四章方案设计 (66) 4.1 总体设计……………………………………………………………… 4.2 系统硬件选择………………………………………………………… 4.3 硬件电路实现………………………………………………………… 4.4 软件的程序实现……………………………………………………… 结论 (71) 致谢 (74) 参考文献 (75)

LED16×16点阵汉字显示屏 摘要 : LED点阵显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 LED点阵显示屏可以显示数字或符号,通常用来显示时间、速度、系统状态等。文章给出了一种基于MCS-51单片机的16×16 点阵LED显示屏的设计方案。包括系统具体的硬件设计方案,软件流程图和部分C语言程序等方面。在负载范围内, 只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉的图文显示方案。 本设计主要以AT89C51单片机为核心,采用串行传输、动态扫描技术,制作一款拥有显示汉字的模块化LED多功能显示屏。 关键词:MCS-51 LED 16×16点阵

史上最全的LED显示屏知识大全

史上最全的LED显示屏知 识大全

转载文档: 一.LED显示屏的分类 二.LED显示屏的基本构成1、异步屏:

一般由显示单元板(模组)、条屏卡、开关电源、HUB板(可选)组成。通过串口线与计算机连接,进行显示文字的更改,之后可以脱开计算机工作。 2、同步屏: 同步屏系统比较复杂,系统可大可小,一般由计算机、DVI显卡、数据发送卡、同步数据接收卡、HUB板、网线、LED显示屏等组成。系统始终需要联机计算机工作,将计算机上的图像文字显示在LED大屏幕上。 三.LED显示屏涉及的名词概念 1、像素: 是LED显示屏的最小成像单元。俗称“点”或“像素点”。 上图所示由2红2绿组成1个显示像素点 2、显示模块: 由若干个显示像素组成的,结构上独立的组成LED显示屏的最小单元。 ·室内屏用的是8x8的显示模块,即每个显示模块有64个像素 ·室外屏使用的是单个的灯珠,通常由1-3个相同或不同颜色的灯珠组成模块的一个像素点。 如上面右图的室外屏模组就是由2个红色灯珠组成1个显示像素点 3、显示模组: 由电路及安装结构确定的并具有显示功能的组成LED显示屏的独立单元。简单说就是为便于组装和显示,出厂的半成品通常是以显示模组形式提供的,将多个显示模块加显示驱动做在一起。室内屏俗称“单元板”;室外屏俗称“模组”,再将若干个模组加上机箱、风扇、电源等构在一起成为“箱体”,多用于大型的全

彩屏。 ·室内屏单元板通常有64x32(64列32行、由32个模块组成)、64x16 (64列16行、由16个模块组成)等。下图是一个64x16的单元板: 室内屏单元板正面室内屏单元板背面 ·室外屏模组通常有64x32、32x32、32x16、16x16、16x8多种 上图为16x8(2红)的室外屏模组。加了防水结构用于全户外,我们可以看到塑料壳体,最右侧是它的整个结构刨图:显示板上插的是灯珠、背板上是显示驱动电路,这是分体结构的,也有的是将显示板和显示驱动电路做在一块电路板上的整体结构的,下面的两个图我们可以看到区别。面板、后壳其实是一个塑料罩壳,面板上对应灯珠位置开有孔,以使灯珠漏出头,后壳上有用于安装的螺丝孔或磁柱,使模块便于组装。模块的前面灌有显示屏专用的防水胶。 室外屏模块正面 室外屏模组背面室外屏模组背面 (显示板和驱动板为分离结构)(显示板和驱动板为整体结构) 大型室外全彩屏所用箱体通常由若干个模组+机箱+风扇+电源组成 4、LED显示屏屏体: 将单元板/模组/箱体按一定方式拼接在一起,加上控制卡/控制系统、电源和框架等就构成为LED显示屏。 室内屏:显示单元板+控制卡+电源+铝型框架 室外屏:显示模组+控制卡+电源+铝型框架 全彩屏:显示箱体+控制系统+计算机+通讯网络+架体等组成

相关文档
最新文档