光电计数器的设计..

光电计数器的设计..
光电计数器的设计..

课程设计说明书

课程设计名称:数字电子技术基础课程设计题目:

学院名称:

专业:班级:

学号:姓名:

评分:教师:

20 年月

数字电路 课程设计任务书 13 - 14 学年 第 1学期 第 2 周- 3 周

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。题目

光电计数器的设计

内容及要求

〖基本要求〗利用发光二极管和光敏三极管作为光电计数器的传感器进行计数,用数码管显示计数值,当数码管显示值与设定值相同时报警,此外计数器停止计数,手动清除报警后可重新工作。

〖提高要求〗l)发光器件和光接收器之间的距离大于lM(提示:若距离较远可增大发光二极管的电流,这种情况下只能采用脉冲供电方法,此时有物体和无物体其输出频率会产生变化

2)有抗干扰技术,防止背景光和瓶子抖动产生计数误差

3每计数100,用灯闪烁2S 指示一下

进度安排 1. 仿真、画PCB 线路板图、领元器件:2天

2. 制作、焊接:2天3.调试:3天

3. 验收:1天

4. 提交报告:13-14学年第一学期3~7周

学生姓名:

指导时间:第2~3周

指导地点: 任务下达

13年 9 月 7 日 任务完成 年 月 日 考核方式

1.评阅 □√

2.答辩 □√

3.实际操作□√

4.其它□ 指导教师 系(部)主任

摘要

光电计数器的设计可对物件计数,计数物件速度可慢、可快,它在生产流水线包装数量控制等领域广泛应用,既节省劳动力又高效地完成任务。

设计采用模数结合电路。电路主要分为信号采集电路、两位十进制计数电路、数码显示电路、报警电路四个模块,分别实现对通过光电门的物体感应,计数,显示,报警。当计数达到预设数目后,停止计数并报警,可手动清除报警。

关键词:计数感应报警

目录

前言 (1)

第一章设计要求 (2)

1.1 基本要求 (2)

1.2 提高要求 (2)

第二章系统组成及方案设计 (3)

2.1 系统组成 (3)

2.1 方案一 (3)

2.2 方案二 (4)

2.3 方案比较与选择 (4)

第三章单元电路的工作原理 (5)

3.1 光电转换电路 (5)

3.2 计数电路 (5)

3.3 报警电路 (7)

3.4 电路仿真 (9)

第四章组装、调试及测试结果与分析 (10)

4.1 焊接是特别要注意的几点: (10)

4.2 调试与测试结果 (10)

4.2.1 调试的步骤 (10)

4.2.2 调试中的问题 (10)

4.2.3 结果 (11)

第五章总结 (12)

参考文献 (13)

附录一元件清单 (14)

附录二总电路图 (15)

附录三方案一原理图 (16)

附录三电路仿真图 (17)

前言

21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。

光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。

在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。

整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。

1

第一章设计要求

1.1 基本要求

利用发光二极管和光敏三极管作为光电计数器的传感器进行计数,用数码管显示计数值,当数码管显示值与设定值相同时报警,此外计数器停止计数,手动清除报警后可重新工作。

1.2 提高要求

l)发光器件和光接收器之间的距离大于lM(提示:生于距离较远;需要增大发光二极管的电流,这种情况下只能采用脉冲供电方法,此时有物体和无物体其输出频率会产生变化

2)有抗干扰技术,防止背景光和瓶子抖动产生计数误差

3)每计数100,用灯闪烁2S指示一下

2

第二章系统组成及方案设计

根据设计要求,方案的设计与制作过程都分为三个部分:产生脉冲源、两位十进制计数、报警。

2.1系统组成

本电路设计的系统框图如图3.1所示。

图3.1系统设计原理框图

2.1方案一

第一部分:产生脉冲源。根据设计要求利用发光二极管和光敏三极管产生光电转换信号,并经过555单稳电路输出稳定的脉冲源。

第二部分:两位十进制计数。利用CD4518芯片进行串行计数,将设计的低位输出的4Q接高位的使能端,使得CD4518芯片的两位十进制输出端位有高低之分,再用CD4511芯片译码,将译码结果输出给共阴数码管。同时通过CD4511芯片的LE锁存控制端,使译码器的输出在99的时候停止。而用CD4518芯片的1引脚CK端进行清零操作。

第三部分:报警,使用NE555芯片多稳震荡电路。将NE555芯片的4引脚当做电路的开启端,当4为高时,芯片开始工作,此时NE555与外围电路构成多谐振荡,实现发光LED的闪烁,蜂鸣器报警的作用。

3

2.2方案二

第一部分:产生脉冲源。询问老师并查阅资料后,发现光电转换电路产生的下降、上升沿比较稳定,所以在这个方案中直接采用光电转换电路产生脉冲源。

第二部分:两位十进制计数。在这个方案中,使用74LS160进行编码,并通过他的引脚1实现清零的操作,引脚10实现锁存功能。再经过74LS47译码给共阳数码管,实现从00—99的计数。

第三部分:报警功能。这部分电路与方案一的设计相同。通过NE555芯片与外围电路构成多谐振荡,实现发光LED的闪烁,蜂鸣器报警的作用。

2.3方案比较与选择

方案一虽然比方案二实现起来更稳定一些,但这这是一个小实验,使用方案一会使简单问题复杂化,有些部分不需要也可以达到实验效果,而且方案二也比方案一更经济实用,总体来讲方案二比方案一的元器件少一些,焊起电路来会更方便一些,分析实验时也会更有效简洁,更主要的是在学科设计中接触74LS160芯片比较多,对其功能比较了解,所以最后决定用方案二来完成实际电路。

4

第三章单元电路的工作原理

3.1光电转换电路

发光器件(例如LED)的输出光强于与通过其工作电流成正比,发光侧与接收侧的距离越大时,要求输出光强也越强,即要求工作电流越大。一般LED的工作电流约为10—50mA,因此为了提高传送距离,必须提高LED的工作电流。无遮挡物挡光时,输出一个高电平,产生一个上升沿;有遮挡物挡光时,输出一个低电平,产生一个下降沿。根据此原理可向计数电路输送其所需脉冲。

图3.2 光电转换电路

发光二极管的正常工作压降大约是1.2V,电流大约是20mA,从而可以估算出限流电阻大约是200Ω,光敏三极管在无光照射下,电阻可达数兆欧姆,有足够的光照时,电阻降到几千欧姆,因此其分压电阻可以取几十到几百千欧,具体需根据实际情况调整,这里采用了典型值33kΩ。

3.2计数电路

计数电路主要采用计数器统计信号采集电路输出的脉冲个数,实现对物件计数的功能。为了使电路简单化,减少其它器件的使用,通过查看各种计数器芯片的技术手册,选取74LS160为该电路计数元件。下图所示为计数电路:

5

图3.3 两位十进制计数电路

设计要求是两位的十进制计数器,根据74ls160的功能图可知,将芯片的进位端连接高位芯片的P、T端,使其只有在低位芯片计到9,Co端输出高电平时才在下个脉冲来到时计一个在十位的数。当芯片的CR非端、LD非端,即引脚1、引脚9为高电平时,引脚10由高电平变为低电平,则电路将会处于保持状态。根据电路知高低位芯片的Q3、Q2、Q1、Q0分别为1001时,经过与门与与非门的作用,此时引脚10的电位将会由高电平变为低电平,使电路处于保持状态。而当CR非端低电平时,电路无论何种状态,芯片产生的功能都为异步清零,将输出直接清零。3.2.3 数码显示电路

该电路是实现将计数电路的计数值以直观的数字方式显现出来,只需实现基本要

求即可,无特殊要求。

6

7

计数电路输出两组BCD 码,为了使电路简单,应选用BCD 码—七段数码管译码驱动器,而七段数码管的选择与之配套。译码器无特殊功能要求。这里选用了常用的74LS47共阳数码管驱动器,配套选取共阳八段数码管,但小数点位不用。74LS47为内部有上拉电阻的BCD —七段数码管译码器/驱动器,输出端为低电平有效,可用于驱动缓冲器或共阳数码管。其功能表如表3-1所示:

表3-1 74ls47功能表

根据功能表,将LT RIB RBO

BI 、、/即引脚3、4、5接高电平,30~A A 接计数电路的30~D D ,该部分电路简单,只要根据功能表将相应端口连接起来即可完成功能,其中公共端要接电阻,起限流作用,保护共阳数码管不被烧坏。由于数码管相当于并联LED ,因此前面计算的限流电阻计算值仍然适用,但又由于是LED 并联情况,在正常工作时,存在同时数个LED 共同发光的情况,总线中的电流加大,因此应适当减小限流电阻的大小,这里选取100Ω的电阻。

3.3 报警电路 该电路是为了实现数码管显示值为99时报警,此外计数器停止计数,手动清除报警后可重新工作。当74LS00输出一个输出一个高电位给NE555芯片的4引脚,此

8

信号可用来触发满百报警电路。引脚4为高电位时,触发由NE555与外围电路构成多谐振荡,使其输出一个周期为两秒的高电平,使LED 灯闪烁。

NE555是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA ,因而其输出可与 TTL 、CMOS 或者模拟电路电平兼容。

555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。

由555定时器和外接元件1R 、2R 、C 构成多谐振荡器,引脚2与引脚6直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通

过1R 、2R 向C 充电,以及C 通过2R 向放电端放电,使电路产生振荡。电容C 在32vcc 和3vcc

之间充电和放电,从而在输出端得到一系列的矩形波。输出信号的时间参数为:

21w w t t T += (1)

C R t w 227.0= (2) C R R t w )(7.0211

+= (3)

C R R T

)2(7.021+= (4) 报警电路中,根据设计的参数闪灯与峰鸣周期:

()s C R R T 2)2(7.05128=+= (5)

考虑其的最佳占空比,将8R 、12R 设置为常用的10k Ω和4.7k Ω,再根据计算将电容5C 设为100uF 。

则电路中周期的计算为

()()()

s C R R T 21010027.4107.07.035128=???+=+=- 报警器采用蜂鸣器,只需要采用三极管驱动即可,由于蜂鸣器工作状况与LED 相似,

限流电阻

R取值为100Ω。74LS00输出端为锁定信号,用于锁定计数器,处于锁定

7

状态时,按下复位电路的复位键后,解除报警,计数器重新开始计数。

图3.4 报警电路

3.4电路仿真

设计的正确性通过proteus软件仿真进行验证,仿真的结果证实了设计的电路是正确的。当计数到99时,显示器将不会改变,蜂鸣器与二极管在高低电位间,可以实现其功能,只有按复位键后才开始由00重新计数。

9

第四章组装、调试及测试结果与分析

4.1 焊接是特别要注意的几点:

1.共阳数码管的各引脚接到不同的管脚分清,要不然会产生乱码。

2.安装时要求发光管与接收管正对,同时为避免自然光线干扰引起的误计数,可在接收管上套一段黑色圆筒作遮光筒。

3.注意芯片的耐温能力,避免烧坏元器件,可以采用引热的方法。

4.连线过程不能发生短接,不然轻则短路,重则烧坏元器件。

4.2调试与测试结果

4.2.1调试的步骤

焊接时,每焊接完一个模块都要进行调试。不要把所有的电路全部焊接完了再进行调试,否则如果出现问题将会很难查出来,而且还会给修改电路带来不必要的麻烦。芯片的管脚要正确读取,接电源的管脚和接地的管脚不可搞反。万能板上的电源线和地线要分清楚,焊接时千万不能搞错。

芯片的安装要注意芯片管脚和插座管脚要对应。数码管的两个公共端要分别接电阻后再接地。

电路焊接完毕后,对照原理图检查是否有漏焊、错焊之处,特别要检查电源引线是否短路。确认无误后,将电路的三个模块连接起来,上电调试,先查看感觉各元器件是否正常工作,是否有异味、发烫等,如有即及时断电检查;若无,测试电路是否完成相应功能,若不能实现某些功能,首先检查相应功能块电路的核心元件是否工作,如检查震荡电路时,先检查555是否起振,用示波器查看2管脚是否有电容充放电的波形,如有,则能正常起振;若无,检查该振荡器是否焊接正确,元件是否选取正确等。

4.2.2调试中的问题

电路的调试过程中,一上电,蜂鸣器就一直响,最后发现是接报警电路的与非门部分与与非的判断错误,应该由另外一个与非门的输出端与上高电位再非的,而在这

10

之前与非门的另一端接了低电位,所以电路一上电NE555的4引脚就为高。而不是当显示器显示99时,才报警。经过调试后报警正常。

调试过程中,还碰到一个棘手的问题,计数部分计数不正常,但是在光电转换电路与计数部分焊一个断点,再用直流脉冲接在CLK计数部分的脉冲输入端时,计数却显示正常。在解决问题的过程中,焊了多个焊点进行调试,也在可能出现问题的地方加减元器件调试。但是却没找到是哪部分的问题,最后将光电部分全拆了再到CLK 端输入直流脉冲时,发现计数不是按顺序跳动,在输入端接了个上拉电阻后,计数变成正常的,再重新焊入光电部分后,发现计数正常。

4.2.3结果

经测试后,电路正常工作,相关功能完全实现:用手通过一次光电门,记数加一;当计数达到预设的数字时,停止计数,LED灯以周期2秒闪烁,同时发出报警声,报警声也以2s为周期鸣响,按下复位键停止报警,电路重新工作。

11

第五章总结

这次课设是围绕数字电路这门课程,而光电计数器则是以编码器、译码器为基本核心设计出来的。电路的设计过程中,查阅了很多相关编码器、译码器芯片的功能,为电路设计提供了很多的假设,发现有多种方法可以实现功能,最后选择了一种最简便的方式。对于共阴、共阳数码管也参阅了相关的知识,了解到共阴、共阳数码管是对芯片搭配实现功能的。而通过翻阅NE555的资料发现它的功能远比我们学的要多,可以通过它组成的单稳、多稳电路实现振荡、稳定输出等功能。

制作和调试过程中,在保证设计方案可行性的前提下,将电路焊接出来,再经过一定的调试,最终实现基本要求和提高要求的全部功能,证实了方案的正确性及可行性。本次设计中,全部采用分立元器件或常用的小规模集成电路,电路结构简单可靠,能够提供准确的统计值,成本低廉,非常适合小型加工厂的产品流水线中自动统计产品个数等。电路采用模块化设计,因此具有较高的二次开发性,如将电路用于统计一定时间中吊瓶滴下液滴的数目从而估量点滴的速率,用来判定是否点滴是否将要滴尽还可应用于报警器中等等。

通过这次设计,巩固了之前学习的数字电路与模拟电路的相关知识,并把这些知识运用到实际中。这次设计是理论和实践的相结合,放大了理论和实践之间的差别,积累了设计经验。从这次课程设计中,学到了很多的专业知识,以及一些宝贵的心得。从找寻参考书这方面来说,别人的答案只能参考,不能照搬。要有独立的见解,善于发现错误,勤于讨论和提问,这样才能改正错误,才能汲取更多的知识和经验。

12

参考文献

[1]阎石.数字电子技术基础.北京:高等教育出版社,2006.5

[2]赵珂,彭嵩.电子技术实践(三).南昌航空工业学院电子信息工程学院电子实验实践中心,2007.3

[3]谢自美.电子线路设计.武汉:华中理工大学出版社,2003

[4]周润景,张丽娜.刘映群.PROTEUS入门实用教程.北京:机械工业出版社,2007

[5]陈振官,陈宏威等.光电子电路及制作实例.北京:国防工业出版社,2006

[6]李忠播,袁宏.电子设计与仿真技术.北京:机械工业出版社,2004

[7]黄正谨.综合电子设计与实践.南京:东南大学出版社,2002

13

附录一元件清单

名称数目74LS160 2 74LS47 2 74LS08 1 74LS00 1 NE555 1 共阳数码管 2 B键 1 光敏三极管 1 发光二极管 1 NPN三极管 1 蜂鸣器 1 LED灯 1

电阻200Ω 1 33kΩ 1 100Ω 2 10kΩ 2 510Ω 2 4.7kΩ 1

电容10nF 1 4.7μF 1 10μF 2

14

附录二总电路图

15

附录三方案一原理图

16

51单片机的光电计数器电路设计原理

51单片机的光电计数器电路设计原理 1.前言 21世纪是信息时代,获取信息,处理信息,运用信息。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。 传感与检测技术是一门知识面广、综合程度高、实用性很强的专业课程。它从传感器的基本理论入手,着重讲叙传感器的结构与感测原理,传感器是一个二端口的装置,不同的传感器输入-输出特性不同,同一传感器适应不同的被测信号呈现的特性也有所不同。尤其当被测信号为静态信号时两种状态下,传感器的输入-输出特性完全不同。感测技术在许多新技术、新器件里都有应用,在课程安排上,以信息的传感、转换、处理为核心,从基本物理概念入手,阐述热工量、机械量、几何量等参数的测量原理及方法。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。 本课题利用AT89C51单片机,探讨一种简易光电计数器的设计思路。 2光电计数器的系统设计 2.1系统硬件设计 2.1.1方案选择 由于单片机所具有的特性,它特别适用于各种智能仪器仪表,家电等领域中,可以减少硬件以减轻仪表的重量,便于携带和使用,同时也可能低存本,提高性能价格之比。

光电计数器实验报告

光电计数器实验报告 学生姓名李志 学号081244115 专业名称光信息科学与技术 指导教师易煦农 时间日期2011-10-19 摘要 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理 的基础技术,是获取信 息和处理加工信息的手段,无法获取信息则无法运用信息。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计

数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器。采用光电传感器制作的光电式电子计数器。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。 【关键词】光电效应光电传感器光电计数器 ABSTRACT The 21st century is the age of information, it is the access to information, treatment information, use of the information age. Sensing and detection technology is important because it is the access to information and the information necessary to deal with the underlying technology, is access to information and means of processing information, unable to get information you won't be able to use information. Photoelectric sensor is a light signal into an electric signal of the sensor. It is the theoretical basis of the photoelectric effect. These effects can be broadly divided into three categories. The first type is outside of the photoelectric effect, namely, in daylight, can make the tungsten surface. Use this effect caused by device with vacuum photocell, photomultiplier tubes, etc. The second category is the photoelectric effect, i.e., in the light, can make the electrical resistivity of the material change. Such devices include various types of photosensitive semiconductor. The third category is photo voltaic effect, in the light, the objects within the EMF EMF, this is called light-induced electromotive force. This class of

光电计数器(数电)

(理工科类) Ⅰ、课程设计(报告)题目: 对生产线产品计数的光电计数器设计 Ⅱ、课程设计(论文)工作内容 一、课程设计目的 1、通过电子技术基础(模 电、数电)课程的学习,使学生在掌握基本理论知识的基础上,学会常见电子集成器件的使用。2、通过设计一个模数结合 的小型电子电路系统,使学生了解电子电路设计的方法、步骤;学会元器件的选用;学会用软件仿真验证设计方案的正确性;培养综合运用知识和独立开展实践创新的能力。 3、通过搭建调试电路,进 一步熟悉相关仪器设备的使用。 4、通过绘制电路图,熟悉 Protel的使用,扩充专业知识技能。 5、规范化训练学生撰写技 术研究报告,提高书面表达能力。 二、课程设计任务与要求 1、基本部分: 1)由光耦实现产品监测; 2)由计数器对脉冲信号计数,计数结果经LED显示; 3)计数范围0~99,电路具备手动清零功能。 4)根据要求设计电路,画出原理图,用EWB仿真,验证设计方案;

5)学习使用Protel,画出系统的PCB图。 2、发挥部分: 1)计数结果要求实现十位数的动态“零消隐”,即:当计数结果不超过10时,十位数的那个数码管无显示; 2)报告第三部分给出其他设计方案,画出仿真实现的电路图,并与参考方案对比分析。 三、课程设计考核 平时20%;验收40%;报告40% 摘要 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理的基础技术,是获取信 息和处理加工信息的手段,无法获取信息则无法运用信息。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光

最新光电计数器的设计

光电计数器的设计

北京交通大学 海滨学院 课程设计题目:光电计数器的设计 专业:光电信息工程班级:光电1101 学号: 11141006 姓名:陈国营

摘要 光电计数器的设计可以对某项物件进行计数,计数物件速度可慢,可快,在实际生产生活中具有广泛的应用,对通过的物体进行计数,在生产流水线包装数量控制等领域的应用,既能节省劳动力有能高效地完成任务,其次它也是光电技术的基础。 在光电计数部分我们考虑到脉冲信号的稳定度、方便检测是否能够产生脉冲信号,因此在电压比较器和NE555之间我们选择了NE555,又要利用遮断式红外控制原理对通过的物件计数,为了感应良好,我们使红外发光管与光电接收管相对安放,同时为避免自然光线干扰引起的误计数,同时因实验室条件有限,在光电计数器工作时尽可能的让房间里没有自然光照射进来。本计数器可实现0~99的计数显示。 每当物件通过一次,红外光被遮挡一次,光电接收管的输出电压发生一次变化,这个变化的电压信号通过放大和处理后,形成计数脉冲,去触发一个十进制计数器,便可实现对物件的计数统计。 关键字:计数脉冲感应光电

本课程设计主要是对于电子信息类专业的学生两年多来的专业知识学习的一个考验以及应用,也是相关专业的同学们开启理论通向实践之门的金钥匙,具有十分重要的意义,既能锻炼同学们的动手操作能力,又能反映出同学们对于电子设备的熟练程度及对简单典型电路原理应用和了解。 本课程设计为光电计数器的设计。光电技术是一门得到迅猛发展的学科,已经渗透到许多的相关的科学领域,应用非常的广泛,而具有代表性的是半导体激光器的广泛应用,具有高量子效率的负电子亲和势。光电阴极的光电倍增管和第三代微光像增强器件的实用化,超大规模的CCD面阵的固体摄像器件已在工业和民用领域都得到了广泛应用,在热成像光电中的红外焦平面技术的应用等等。 本文所讨论的光电计数器是一种比较初级的利用光电感应信号发出的脉冲进行计数的一种简单光电系统。

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

毕业论文光电计数器设计及制作

毕业论文光电计数器设 计及制作 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

目录

摘要 数字式计数器因为其有使用方便,计数精确,显示直观等优点,被广泛应用于各行业生产线上的物件计数。本论文利用光电二极管接收激光光源发射的光信号,并通过数字计数与显示电路设计了一种光电计数器。当物件从光电二极管与激光器之间通过时会对光束进行遮挡,光电二极管的电压发生变化。该信号经过放大和处理后,经计数电路和LED数码管显示计数的数值。该光电计数器可以将机械或者人工的计数方式转变为电子自动计数,工业实用性很强。 关键词:光电二极管,激光器,计数器, LED数码管 Abstract As the digital counter has advantages in convenient using, accurate counting, direct display , it is widely used in industry production line for counting the paper designed a photoelectric counter,the photoelectric receivers sent from the laser,and then through the digital count and display objects cross the middle of the laser ,the beam will be kept out, photoelectric receiver's voltage would have a voltage signal will be amplified and processed, then input it to the count circuit, and then the number can be displayed on the LED digital display photoelectric counter can change mechanical counting or artificial counting into electronic automatic counting, it has strong industrial usability. Key Words:photodiode,,laser,counter,LED digital tube 引言 随着自动化技术的高速发展,工业上的生产越来越趋向于自动化。在流水生产线 中,自动化的计数装置已经普及。采用自动化计数不仅可以提高生产计数的效率,还 可以提高计数的准确性。对于工业生产的现代化具有很大的推进作用。生产自动化、 设备数字化、机电一体化不断发展,工业中对光电计数器的需求量也逐渐在增大,因 此,设计光电计数器是十分具有现实意义的。 光电技术是一门以光电子学为基础,将光学技术、现代微电子技术、精密机械及 计算机技术紧密结合,成为获取光信息或借助光提取其他信息的重要手段的课程。光 电技术在现代科技、经济、军事、文化、医学等领域发挥着极其重要的作用,以此为 支撑的光电子产业是当今世界争相发展的支柱产业,是竞争激烈、发展最快的信息技

产品计数器课设1

燕山大学课程设计说明书 产 品 计 数 器

光电计数器的设计 摘要 本系统采用的是以单片机STC89c52为核心的自动计数器。采用反射式光电传感器,将激光发射管与接收管相邻安放,每当物体通过一次,激光就被物体遮挡一次,光电接收管的输出电压就发生一次变化,这个变化的电压信号通过放大和处理后,形成计数脉冲,输入至STC89c52单片机的P1口,通过软件控制用LED 加以显示,便可实现对物体的计数统计。本计数器可将机械或人工计数方式变为电子计数,并且采用LED数码管显示,可适用于诸多行业,以满足现代生产、生活方式的需求。 所谓的光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。市场上的光电计数器采用的光电传感器有摄像头、光电管等,采用的光的种类有普通光和激光,可见光和不可见光等。光电传感器一般由光源、光学通路和光电元件三部分组成。光电式传感器是以光电器件作为转换元件的传感器,光电检测方法具有精度高、应用快、非接触等优点,而可测参数多,光电传感器的结构简单,形式灵活多变因此,光电式传感器在检测和控制中应用非常广泛。 【关键词】计数器光电传感器单片机数码管

基于单片机的计数器设计 (1)

百度文库- 让每个人平等地提升自我! - 1 - 湖南科技大学 单片机课程设计 题目基于单片机的计数器设计姓名李建雄 学院机电工程学院 专业测控技术与仪器 学号09030303 指导教师戴巨川 成绩 二〇一二年六月二日

百度文库- 让每个人平等地提升自我! - 2 - 摘要 本设计是根据我们所学习的单片机课程,按照课程要求进行的课程检验。单片 机技术是一个不可或缺的技术,尤其是对于我们测控技术与仪器专业来说它是我们必须要掌握的技能之一,使我们未来工作和生活的根本。现在的社会是一个信息科技高速发展的社会,也是一个电子技术和微机计算机迅速发展的时代,单片机的档次和水平在不断的提高,其应用的领域和范围也越来越广,成为现代电子系统中最重要的智能化核心部分。 随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,随之而来的竞争也越来越激烈。过硬的技术也成为众多生产厂商竞争的焦点之一。厂商为了在竞争中处于不败之地,从而不断地改进技术,增加产品的种类。 现计数器的种类以增加到:电磁计数器、电子计数器、机械计数器(拉动机械计数器、转动机械计数器、按动机械计数器、测长机械计数器)、液晶计数器等。 计数器的应用范围也遍布印刷、纺织、印染、针织、电缆、电讯、军工、轻工、机械、开关、断路器、矿山、实行多班制的纺织行业的织布机、织带机、制线、制带、造纸、制革、薄膜、高压开关电器产品、试验设备,印刷设备、短路器、医疗、纺织、机械、仓库和码头的货运、行人及车辆过往的数量计数、冶金、食品、国防、包装、配料、石油、化工、发电、机床、仪表、自动化控制等行业。

百度文库- 让每个人平等地提升自我! - 3 - 目录 2.2设计原理 (6) 数码管的介绍 ............................................................................................................................... - 11 -3.3电路仿真. (11)

光电计数器

光电计数器的设计 摘要: 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器。采用光电传感器制作的光电式电子计数器。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。关键词:计数光电传感器单片机 设计内容与要求: 1、发光器件和接收器件之间的距离大于一米; 2、有抗干扰技术,防止由于抖动产生的误计数; 3、每计数100,用等闪烁2s指示一下; 4、LED数码显示计数器。

目录 第1章引言 (2) 第2章光电计数器的系统设计 (3) 2.1系统硬件设计 (3) 2.1.1各组成模块 (4) 2.1.2主要芯片元器件引脚图及功能介绍 (7) 2.2系统软件设计 (9) 第3章设计原理 (10) 3.1计数测量 (10) 3.2 中断方式计数 (11) 第4章软件程序的设计 (11) 4.1 时间控制设置 (11) 4.2 10ms定时设置 (12) 4.3 中断闪烁2S (12) 4.4 总程序调试 (12) 第一章引言 传感与检测技术是一门知识面广、综合程度高、实用性很强的专业课程。它从传感器的基本理论入手,着重讲叙传感器的结构与感测原理,传感器是一个二端口的装置,不同的传感器输入-输出特性不同,同一传感器适应不同的被测信号呈现的特性也有所不同。尤其当被测信号为静态信号时两种状态下,传感器的输入-输出特性完全不同。感测技术在许多新技术、新器件里都有应用,在课程安排上,以信息的传感、转换、处理为核心,从基本物理概念入手,阐述热工量、机械量、几何量等参数的测量原理及方法。 自动化的计数提高了工业生产上的效率以及准确性,计数的自动化和智能化最终能加速实现现代化的工业。随着生产自动化、设备数字化和机电一体化的发展,对光电计数器的需求日益增多。 光电计数器设计一方面是为了巩固课本所学知识,完成知识迁移,另一方面加强动手能

学士学位论文—-基于单片机的光电计数器电气工程课程设计报告

计控学院 College of computer and control engineering Xxx university 电气工程课程设计报告 题目:基于单片机的光电计数器 系另寸电气工程系 专业班级______ 电气XXX班_____ 学生姓名________ XX ________ 学号XXXX 指导教师XX ___________ 提交日期2015年6月24日 成绩___________________________

电气工程课程设计报告 摘要 光电计数器是利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。光电计数器的应用范围非常广泛,常用于记录成品数量,例如绕线机线圈匝数的检测、点钞机纸币张数的检测、复印机纸张数量的检测,或展览会参观者人数。 光电计数器与机械计数器相比,具有可靠性高、体积小、技术频率高、能和计算机链接实现自动控制等优点。本文即介绍基于MCS-51单片机的光电技术器。 关键词:单片机;光电计数器;数码显示;自动报警

齐齐哈尔大学计控学院电气工程系课程设计报告 目录 1设计目的及意义 (1) 2设计内容 (1) 2.1系统整体设计 (1) 2.1.1 实验方案 (1) 2.1.2光电计数器结构框图 (2) 图1光电计数器结构框图 (2) 2.2系统硬件设计 (2) 2.2.1 稳压直流电源电路 (2) 2.2.2发射接收电路 (3) 2.2.3显示电路 (3) 2.2.4报警电路 (4) 2.2.5硬件系统 (4) 2.3系统软件设计 (6) 3结论7 4参考文献 (8)

数字电路实验-光电计数器

课程综合设计 课程名称:《数字电路实验》实验名称:《光电计数器》 学院:应用科技学院 专业:电子信息工程 年级:2012级 学号:____________ 姓名:____________

设计意义及实现功能: 工厂生产线或某些设备上(如打印机)常装有自动计数器,以便计算产量或为生产过程自动化合计算机管理系统提供数据,计数器种类很多,光电计数器是常见的一种。 设计并制作一个光电计数器,要求如下: (1)光源采用聚焦白炽灯,电压为6.3V,自行选择光敏器件。当有光照到光敏器件上时,计数器不计数,当光照有亮突变到暗的一瞬间,产生一个脉冲沿,对这个脉冲沿进行技术,光照由暗突变到亮不计数。 (2) 计数器范围:00~99。用两只LED数码管作显示组件,可显示00~99。 (3)定数控制功能:当需要定数时,事先预置一个定数值,显示器同时显示这个定数值。每光照一次,计数器减“1”,当定数值减至:“00”,发出声、光报警。 (4)当计数器作“累加”功能时,需先清零。计数器从“00”累加到“99”。当光照次数大于99次时,发出声,光报警。 实验原理 CD4511引脚图及功能 CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS 电路能提供较大的拉电流。可直接驱动LED显示器。器中的字形消隐。 其功能介绍如下: BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。 LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。 LE:锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。 A1、A2、A3、A4、为8421BCD码输入端。 a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。 CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。 1. CD4511的引脚 CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱动LED。其引脚图如3-2所示。 各引脚的名称:其中7、1、2、6分别表示A、B、C、D;5、4、3分别表示LE、BI、LT;13、12、11、10、9、15、14分别表示 a、b、c、d、e、f、g。左

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

光电计数器的设计

景德镇陶瓷学院 电子电路CAD课程设计课题名称光敏计数器 所在院系机电学院 班级13自动化2班 学号201310320210 姓名董儒诚 指导老师刘蜀阳 时间2015年12月30日 word . .

光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能 目录 前言 (1) 第一章设计要求 (2) 1.1 基本要求 (2) 1.2 提高要求 (2) word . .

第二章系统组成及方案设计 (3) 2.1 系统组成 (3) 2.1 方案一 (3) 2.2 方案二 (4) 2.3 方案比较与选择 (4) 第三章单元电路的工作原理 (5) 3.1 光电转换电路 (5) 3.2 计数电路 (5) 3.3 报警电路 (7) 3.4 电路仿真 (9) 第四章组装及测试结果与分析 (10) 4.1 焊接是特别要注意的几点: (10) 4.2 测试 (10) 4.3 结果 (11) 第五章总结 (12) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15) word . .

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。 word . .

红外光电计数器实验报告(DOC)

信息与电气工程学院 课程设计说明书(2015 /2016 学年第1 学期) 课程名称:小型数据设计 题目:红外线计数器 专业班级:计算机1401 学生姓名:何亚茹赵君王中昆 学号:140210122 140210107 140210121 指导教师:生龙 设计周数:二周 设计成绩: 2016年01月08日

目录 1 程序设计 (1) 2 课程设的主要内容 (1) 2.1设计的要求.............. . (1) 2.2创新方案及原理分析 (1) 2.3方案论证与选择 (2) 2.4软件的设计 (3) 3主要芯片设计 (4) 3.1介绍 (4) 3.2 51 单片机的特点 (5) 3.3数码管 (7) 4系统设计 (8) 4.1单片机最小设计系统 (8) 4.2红外线检测电路 (9) 4.3计数显示部分 (10) 4.4蜂鸣器报警电路 (10) 4.5按键控制电路 (11) 5 红外计数器程序设计 (11) 5.1主程序设计 (11) 5.2子程序设计 (13) 6总结 (15) 7参考文献 (16)

1、程设计目的 课利用AT89C51单片机来制作一个手动计数器。通过具体的项目设计包括确定控制任务、系统总体方案设计、硬件系统设计、控制程序的设计等,以便掌握单片机系统设计的总体思路和方法,掌握基于单片机控制的电子产品开发的技术方法,培养个人的创新意识和动手能力。 2、课程设计的主要内容 2.1设计的要求 1.利用AT89C51单片机来制作一个红外线计数器。有物体经过红外对管时计数一次。计数的范围是0~99, 计数满时,又从零开始计数。 2.整个系统有较强的抗干扰能力,具有报警能力。 3.将计数值准确显示出来。 2.2创新方案及原理分析 总体电路是由AT89C51单片机系统、红外光电管电路、蜂鸣器报警电路、数码管显示部分、复位电路部分组成,其结构如图2.1所示 图 2.1 整体方框图 红外传感器感受到外界信息时,产生高低电平,通过软件程序设置单片机内部寄存器,当传感器的高低脉冲被单片机接收到时,单片机产生中断,中断产生后进入中断服务程序,通过设置中断服务程序,进行计数。并通过P0 口将计数信息传送至数码管,数码管显示计数的个数。当电路断电后重新启动计数器时,系统自动复位(上电自动复位),以00开始重新计数。

光电计数器的设计

光电计数器的设计 The Standardization Office was revised on the afternoon of December 13, 2020

景德镇陶瓷学院 电子电路CAD课程设计 课题名称光敏计数器 所在院系机电学院 班级 13自动化2班 学号 0210 姓名董儒诚 指导老师刘蜀阳 时间 2015年12月30日 光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能

目录 前言 (1) 第一章设计要求 (2) 基本要求 (2) 提高要求 (2) 第二章系统组成及方案设计 (3) 系统组成 (3) 方案一 (3) 方案二 (4) 方案比较与选择 (5) 第三章单元电路的工作原理 (6) 光电转换电路 (6) 计数电路 (6) 报警电路 (8) 电路仿真 (9)

第四章组装及测试结果与分析 (10) 焊接是特别要注意的几点: (10) 测试 (10) 结果 (10) 第五章总结 (11) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15)

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。

计数器设计

目录 1前言 (1) 2设计任务及方案论证 (2) 2.1设计任务 (2) 2.2方案论证 (2) 3电路设计原理 (3) 3.1设计任务及要求 (3) 3.2设计方案 (3) 3.3单元模块 (4) 3.3.1清零电路的设计 (4) 3.3.2光电报警电路的设计 (4) 3.3.3脉冲发生器的设计 (5) 3.3.40~999计数器的设计 (6) 3.3.5译码器的设计 (7) 4电路板的制作及电路焊接与调试 (10) 4.1电路板的制作 (10) 4.2电路的安装 (11) 4.3电路测试 (11) 5设计总结 (13) 参考文献 (14) 鸣谢 (15) 附录一原理图 (16)

1前言 计数器是最常用的时序电路之一,它们不仅可用于对脉冲进行计数,还可用于分频、定时、产生节拍脉冲以及其他时序信号。 计数器的种类不胜枚举,按触发器动作分类,可分为同步计数器和异步计数器;按计数数值增减分类,可分为加计数器、减计数器和可逆计数器;按编码分类,可分为二进制计数器、BCD码计数器、循环码计数器。此外,有时也按计数器的计数容量来区分,例如本设计就是采用十进制计数器74LS160进行设计的。计数器的容量也称为模,一个计数器的状态数等于其模数。 目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。只要使用者借助于器件手册的功能表和工作波形图以及引脚的排列,就能正确地运用这些器件设计出自己想要的电路。

2设计任务及方案论证 2.1设计任务 利用数字集成电路(如:74LS160、161、90、290、390、48等)设计一个电子计数器。 2.2方案论证 在设计中采用两个74LS00与非门以及单刀双掷开关等组成脉冲发生电路,此电路不仅能满足按键输入计数方式而且还有去抖功能;计数设计电路中采用74LS160来完成计数功能;通过控制MR、PE、CET、CEP端可实现计数、清零、启动、暂停等功能;而通过74LS48译码器对计数器结果译码并驱动数码管,使数码管显示脉冲发生器产生的脉冲个数,当计数溢出时及计数到999由光电报警电路报警。 综上:该设计不仅能完成设计要求而且精确、经济,所以此设计方案可行。

光电计数器

电子系统课程设计 设计报告设计题目:光电计数器 小组成员 所在学院 指导教师 年月日

目录 前言 (2) 一、设计任务 (2) 二、设计要求及技术指标 (3) 三、设计方案比较 (3) 四、主要元件介绍 (5) 五、电路设计 (10) 六、电路焊接与调试、故障分析与解决 (16) 七、收获与体会 (17) 八、参考文献 (17) 九、附仿真图、元件清单和实物图 (17)

光电计数器 指导教师 摘要:数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。该例光电触发式电子计数器只有两位数,但通过级联可以扩展为四位,甚至多位。本文针对光电计数器的设计要求,翻阅了一些资料,基本能实现其所要求的功能。 关键词:电子计数器计数触发光电式传感器 Abstract:Digital electronic counter intuitive and counting accurate advantages, has currently in various industries commonly used. Digital electronic counter have multiple counts, it is triggered by the actual conditions of use and environments. Using the contact of mechanical way, have use trigger non-contact electronic sensors, photoelectric sensor trigger is one of these, it is a kind of non-contact electronic sensors. This counter on the factory production lines for product statistics, has the advantages of other counter irreplaceable. This example photoelectric trigger type electronic counter only two digits, but through the cascade can be extended for four, even more. Aiming at the design requirements of photoelectric counter, looked up some material, basic can realize its the required functions. KEY WORDS: electronic counters counting trigger photoelectric sensor 前言 在啤酒、汽水和罐头等灌装生产线上,常常需要对随传送带传送到包装处的成品瓶进行自动计数,以便统计产量或为计算机管理系统提供数据。光电计数器是通过红外线发射和接收进行计数,有直射式和反射式两种,通常用于流水线作业工件计数。直射式的发射、接收分体,发生器和接收器分别置于流水线两边,中间没有阻挡时发射器的红外线射到接收器,接收器收到发射来的红外线,经相反处理使之没有信号输出,有工件经过时挡住光路,接收机失去红外线信号的便输出一个脉冲信号到运算累加器进行计数。发射式是发射、接收同体,置流水线一边,前面没有工件往下流时,发射器发出的红外线直接射出没有发射,接收器没有接收到反射来的红外线信号没有输出。有工件经过时挡住光电路使发射器发出的红外线信号发射到接收器上,接收器接收到反射来的红外线信号便输出一个脉冲信号到运算累加器进行计数。 一、设计任务

相关文档
最新文档