数电课程设计报告交通灯讲解

数电课程设计报告交通灯讲解
数电课程设计报告交通灯讲解

前言

现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。

自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。

本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。

设计任务及要求

设计一个十字路口的交通灯定时控制系统,基本要求如下:

(1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。

(2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。

(3)黄灯亮时,要求每秒钟闪亮一次。

(4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数;(5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。

本设计由王宇同学完成。

由于所学知识有限,设计中难免出现错误,请老师批评指正。

- 1 -

目录

第一章设计任务及设计目的 (1)

第二章系统概述 (2)

2.1 系统概述 (2)

2.2 交通灯逻辑分析 (2)

2.3总体设计方案 (2)

第三章单元电路设计与分析 (5)

3.1秒脉冲信号发生器的设计 (5)

3.2定时器的设计 (5)

3.3 控制器的设计 (6)

3.4 显示电路的设计 (9)

第四章综述及心得体会 (10)

4.1 系统综述 (10)

4.2 总结及心得体会 (10)

附录 (12)

附录一实验电路图 (12)

附录二芯片引脚图 (13)

附录三元器件清单 (16)

附录四焊接电路板 (17)

参考文献 (18)

- 2 -

交通灯定时控制系统的设计、制作

摘要:在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在

每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

第一章设计任务及设计目的

1.1设计任务及要求

设计一个十字路口的交通灯定时控制系统,基本要求如下:

(1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。

(2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。

(3)黄灯亮时,要求每秒钟闪亮一次。

(4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数;(5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。

1.2设计目的

(1)熟悉集成电路的引脚安排。

(2)掌握各芯片的逻辑功能及使用方法。

(3)了解PCB板结构及其接线方法。

(4)了解数字交通灯控制电路的组成及工作原理。

(5)学会用仿真软件对设计的原理图进行仿真。

(6)熟悉数字交通灯控制电路的设计与制作。

- 1 -

第二章系统概述

2.1系统概述:

系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,产生倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。

2.2交通灯逻辑分析:

图1表示甲车道和乙车道的十字路口交通灯系统,每条道路设一组信号灯,

每组信号灯由红、黄、绿3个灯组成,绿灯表示允许车辆通行,红灯表示禁止通行,黄灯为过渡灯,表示该车道上已过停车线的车辆继续通行,未过停车线的车辆禁止通行。

交通灯控制系统原理框图图1

总体设计方案:2.3生器、1图为交通灯的一个整体设计框图。系统主要由秒

脉冲信号发

定时器、控制器、译码器、信号灯显示器组成。其中控制器是核心部分,由它控制定时器和译码器的工作,秒脉冲信号发生器产生定时器和控制器所需的标准时钟信号,译码器输出两路信号灯的控制信号。为控制器的输出信号。TY为定时器的输出信号,STTL图中、秒,即车辆正常:表示甲车道或乙车道绿灯亮的时间间隔为TL 25 。TL=1通行的时间间隔。定时时间到,,否则TL=0,否则,

秒。定时时间到,:表示黄灯亮的时间间隔为TY 5TY=1- 2 -

TY=0。

ST :表示定时器到了规定的时间后,由控制器发出状态转换信号,由它控制定时器开始下一个工作状态的定时。

因此,用定时器分别产生两个时间间隔后,向控制器发出“时间已到”的信号,控制器根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号S,定时器开始清零,准备重新计时。T交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S、S、S、S表示。3012S状态:甲车道绿灯亮,乙车道红灯亮,此时甲车道允许车辆通行,0乙车道禁止车辆通行。当甲车道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。

S状态:甲车道黄灯亮,乙车道红灯亮,此时甲车道允许超过停车线1的车辆继续通行,而未超过停车线的车辆禁止通行,乙车道禁止车辆通行。当甲车道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。

S状态:甲车道红灯亮,乙车道绿灯亮。此时甲车道禁止车辆通行,3乙车道允许车辆通行,当乙车道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。

S状态:甲车道红灯亮,乙车道黄灯亮。此时甲车道禁止车辆通行,2乙车道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当乙车道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S状态。0S、S、S、S状态分别分配状态编码为00、01、10、11,由此得到3012控制器的状态,如表1所示。

表1状态转换表

控制器状态信号灯状态车道运行状态

00甲车道通行,乙车道禁止通行)甲绿,乙红S(001甲车道缓行,乙车道禁

止通行S)(甲黄,乙红111甲车道禁止通行,乙车道通行甲红,乙绿(S)3 10 S甲红,乙黄)(甲车道禁止通行,乙车道缓行2- 3 -

控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:

AG=1:甲车道绿灯亮;BG=1:乙车道绿灯亮;

AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮;

AR=1:甲车道红灯亮;BR=1:乙车道红灯亮。

由此得到交通灯的ASM图,如图2所示:

图2图交通灯控制系统的ASM

- 4 -

第三章单元电路设计与分析

3.1秒脉冲信号发生器的设计:

本实验采用555定时器组成秒脉冲信号发生器。因为该电路的输出脉冲的周期T ≈0.7(R+2R)·C,若T=1s,令C2=10μf,R=47K?,那么121R≈47K?。其它参数为:C1=10nF。如图3所示。2

秒脉冲信号发生器图3

定时器的设计:3.2用74LS190直接构成减法计数器,时钟脉冲上升沿到来时,在控制信号S的作用下,计数器以减计数向控制器提供T、T的时间信号。

LYT74LS190是同步十进制可逆计数器,用它进行减计数与数字显示倒计时相符合。74LS190可以级联,只需要2片即可向控制器提供TL、TY的信号,倒计数可在数字显示电路中实现。用74190节省材料,节约成本,而且由于经常应用,因而使用起来较方便,具有其独特的优良性。如图4所示。

- 5 -

定时器供给其555为十位计数器。U4由图中U4为个位计数器,U2时,产生一个低电平,随即有一个上升沿,供0脉冲。当其从9计数到计数减一,实现两片芯片的级联。由于整个U2给U2做时钟脉冲,此时,重新开始计数,所以,芯30计数,减一到01,再恢复至电路是从30 。3)(00000)和0011(片由二者的TC共同与非再置数。输入分别为

定时器电路图图4

控制器的设计:3.3管理规则控制信号该能够按照交通心,它应控制器是交通管理的核所5ASM图可以列出控制器的状态转换表,如图灯工作状态的转换。

从示。表 2 控制器的状态转换表

输入输出

现态状态转换条件次态状态转换信号

nnn+1n+1ST TY TL Q Q QQ 01100 0 0 X 0 0 0

0 0 1 X 0 1 1

0 1 X 0 0 1 0

0 1 X 1 1 1 1

1 1 0 X 1 1 0

1 1 1 X 1 0 1

1 0 X 0 1 0 0

1 0 X 1 0 0 1

- 6 -

根据表2,写出状态方程和状态转换信号方程为:

n+1 nnnn nn T QQ + Q T + Q QQ=Q Y0011Y011

n+1nnnnnn TQQ = QQ Q + QT + Q S00110L01

nnnnnnnn QT + QTQQTS= Q + QQT + Q S0001T1Y101LY

nn Q= Q,其他变用四选一数据选择器和D触发器实现,设AA0011量通过数据输入端输入。

数据选择器用74LS153,触发器用74LS74。设计中将触发器的输出看作逻辑变量,将T、T看作输入信号,按照由数据选择器实现逻辑函SL数的方法实现以上三个逻辑函数,并将触发器的现态值加到数据选择器的选择变量端,数据选择器的输入端信号可以根据状态方程和转换信号方程得出。就可得到控制器的原理图,触发器的时钟输入端输入秒脉冲。

系统的输出是在QQ驱动下的六个发光二极管,各状态与信号灯的01关系由表2给出,因此,得到二极管信号与控制器状态变量的关系为:

'''*Q 甲车道:AG= QAY= Q*Q AR=Q 1

0011

'' BR= Q *QBY= Q *QBG= Q 乙车道:11100

电路如图5所示。

- 7 -

控制器电路图图5

信号灯与控制器状态编码表表3

- 8 -

3.4显示电路的设计:

采用74LS190进行减计数,然后将输出通过7448接到七段显示译码器上。7448是用来驱动共阴数码管的。如图6所示。

数码管显示电路图6

- 9 -

第四章综述及心得体会

4.1 系统综述:

通过分析交通灯控制系统的要求可知,整个系统主要由秒脉冲信号发生器、定时器、控制器、译码显示器构成。其中,秒脉冲信号发生器由555定时电路组成;定时器由两片同步十进制减法计数器74LS190芯片及若干与非门、非门、与门共同组成;控制器由一片数据选择器74LS153及一片双D触发器74LS74芯片构成;译码显示器由两片74LS190、两片译码管驱动芯片7448和两个七段数码管构成。主控制器和定时计数器必须使用同一脉冲信号,译码电路输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作。控制电路是系统的核心部分,由它控制定时计数电路和译码驱动电路的工作能够实现交通灯四种状态的自动转换。

4.2总结及心得体会:

这次课程设计历时一个星期。通过这一个星期的课程设计,我发现

了自己的很多缺点与不足,自己知识的漏洞,看到了自己的实践经验还是比较缺

乏,理论联系实际的能力还急需提高。

在课程设计过程中,光有理论知识是不够的,还必须懂一些实践中的知识。首先,我们要做好课前的仿真练习,只有仿真通过了,才能做接下来的硬件焊接部分。其次,在焊接电路之前,我们必须查阅好各个芯片的引脚图,知道一个芯片共有几个引脚,哪个引脚该接什么。最后,在焊接的时候,一定要细心,不能够三心二意,因为线比较多,所以一定要全神贯注。

结果出不来,不要急躁;看到其他同学提前完成设计了,不要急躁;当找不到问题所在处时,也不要急躁。总而言之,就是得静下心来,不急不躁,慢慢检查,慢慢体会。

本次课程设计也让我加深了对电子线路基本知识的理解,提高了我的综合运用所学知识的能力,增强了我的根据课程需要选学参考资料,查阅手册、图表和文献资料的自学能力。同时我也初步学习到了关于课程设计的基本方法、步骤和撰写设计论文的格式。

- 10 -

通过这次课程设计,我想说:为完成这次课程设计我们确实很辛苦,但苦中仍有乐。还记得做仿真那晚,弄到凌晨4点多,但仍睡意全无。这些日子里,从设计到仿真,从仿真到硬件焊接,从硬件焊接到结果出来,我们经历了很多,在这期间,我们学到了很多,也收获很多。对我而言,知识上的收获重要,精神上的丰收更加可喜。让我知道了学无止境的道理:我们不能满足现在,要不断的挑战自己,迎难而上,不屈不挠,奋斗到底!既然是一名战士,那么就要不停的战斗,永不停息!

- 11 -

附录

附录一实验电路图- 12 -

附录二芯片引脚图NE555

74LS190

74LS48

- 13 - 74LS74

74LS153

74LS00 74LS04

- 14 -

74LS08 74LS10

74LS20(3,11为NC空引脚)

- 15 -

附录三元器件清单

表4 元器件清单

序名型号参数备

21电477220电13电104210nF电5210uF电1NE5556定时74LS19027减法计数874LS482译码1974LS74触发触发11074LS153数据选择1

11174LS00输入与非1274LS102输入与非13174LS20输入与非274LS0414非74LS08215输入与216绿常发光二极

217红发光二极常用2 18 黄色发光二极管常用

2 19 共阴极数码管常用

20

导线常用若干- 16 -

附录四焊接电路板

- 17 -

参考文献

1、数字电子技术基础. 阎石主编. 第五版. 高等教育出版社.

2、电工电子实践教程. 易灵芝秦祖泽田拥军主编. 湘潭大学出版社.

- 18 -

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

交通灯设计数电课程设计报告

课程设计论文 题目:交通灯定时控制系统的设计、制作 学院:_ ___ 专业:____ 学号:_____ 姓名:________ 指导教师:_________ 完成日期: 设计任务书 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。

目录 一、交通灯设计原理 (4) 二、单元电路的设计 (8) 1、秒脉冲发生器 (8) 2、定时器 (9) 3、控制器 (11) 4、译码器 (14) 5、显示部分 (16) 6.整个交通灯控制系统的布局 (17) 三、仿真过程与效果分析 (17) 四、元器件清单...................................................................... . (19) 五、体会总结...................................................................... .. (20) 六、参考文献...................................................................... .. (21) 七、附录 一.交通灯设计原理

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

交通灯控制电路数电课程设计数字电路课程设计

——交通灯控制电路 目录 第一章:序言 (2) 第二章:设计任务书 (2) 第三章:电路组成和工作原理 (4)

第四章:设计步骤及方法 (7) 第五章:总结 (10) 第七章:参考文献 (10) 第一章序言 随着社会的飞速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通问题,为了保证交通秩序和行人安全,一般在每条街上都有一组红、黄、绿交通信号灯。其中红灯亮,表示道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已经过停车线的的车辆继续通行;绿灯亮表示道路允许通行。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

第二章设计任务书 一、设计题目: 二、技术内容及要求: 三、给定条件及器件 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决

的办法。 第三章电路组成和工作原理设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。 图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行,通行时间均可在0 ~ 99 s内任意设定。 (2)每次绿灯换红灯前,黄灯先亮较短时间(也可在0 ~ 99 s内任意设定),用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

交通灯控制逻辑电路设计实验报告

交通灯控制逻辑电路设 计实验报告 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期: 交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这

时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。

交通灯控制电路_数电课程设计_数字电路课程设计

——交通灯控制电路 目录

第一章:序…………………………………………(1-2) 第二章:设计任务书………………………………(2-4) 第三章:电路组成和工作原理........................(4-12)第四章:设计步骤及方法..............................(13-18)第五章:仿真过程故障排除...........................(18-22)第六章:总结.............................................(22-23)第七章:参考文献 (23) 第八章:致谢 (23)

毕业论文项目表

哈尔滨应用职业技术学院学生顶岗实习鉴定表

第一章序 数字电子技术基础是高等学校弱电类专业的一门重要技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展城市交通问题越来越引起人们的注意。人、车、路三者的关系协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导计算机综合管理系统,他是现代城市的交通监控系统指挥中最重要的组成部分。 同时也随着城市机动车辆的不断增加,许多大城市如北京,上海,南京等出现交通超负荷运行的情况,因此,自八十年代后,这些城市纷纷修建高速道路,在告诉道路完成的初期,它们也曾有效地改善交通情况。然而,随着交通量的快速增长和缺乏对告诉道路的系统研究和控制,告诉道路没有充分发挥初期的作用。而城市的高速道路在构造上的特写,也决定了城市告诉道路的交通状况必然受告诉道路与普通道路耦合出交通状况的制约。所以,如何才用何时得控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道和匝道,城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,本次设计完成的就是交通灯设计。一下就是城乡交通灯控制系统的电路原理,设计计算和实验调试等问题来进行具体讨论。 第二章设计任务书 一、设计题目:交通灯控制电路 二、技术要求:

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实 现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。 三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

数电综合实验报告2--交通灯

数电综合实验报告2--交通灯

数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计 学院电子工程学院 班级卓越001012班 学号00101201 姓名冉艳伟 实验时间2012.6.8

十字路口交通灯自动控制器的设计 一、实验目的 学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。 二、设计任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 东西干道 图1 路口交通管理示意图

三、实验要求 (1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。 要求:必须用可编程器件实现电路功能。可以是原理图,也可以是VHDL代码,也可以混合输入。 五、设计说明 (1)第一模块:CLK时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。 模块说明: 系统输入信号: CLKi: 由外接信号发生器提供1MHz的时钟信号; 系统输出信号: CLK:产生每秒一个脉冲的信号; (2)第二模块:模90倒计数器

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

217-交通灯实验报告 1

上海电力学院 (2010 /2011 学年第 1 学期) 课题名称交通信号灯控制器 课题代码217 院(系)电力与自动化工程学院 专业电气工程及其自动化 班级 2008*** 学生 时间 2010-01-07至2010-01-18 指导教师签名: 教研室主任(系主任)签名: 一、设计目的 1、了解并掌握电子电路的一般设计方法,具备初步的独立设计能力。 2、通过查阅手册和文献资料,进一步熟悉常用电子器件的类型和特性,并掌握

合理选用的原则;进一步掌握电子仪器的正确使用方法。 3、学会使用EDA 软件Multisim 对电子电路进行仿真设计。 4、初步掌握普通电子电路的安装、布线、调试等基本技能。 5、提高综合运用所学的理论知识独立分析和解决问题的能力,学会撰写课程设计总结报告;培养严肃认真的工作作风和严谨的科学态度。 二、 设计内容、要求及设计方案 1、任务 设计并制作1个十字路口交通灯控制器。 2、基本要求 1)要求A 车道和B 车道两条交叉道路上的车辆交替通行,每次允许通行时 间都设为25s (绿灯亮)。 2)从允许通行(绿灯亮)到禁止通行(红灯亮)的过渡为5s (黄灯亮)。 3)当某一方向的黄灯亮时,另一个方向的红灯必须继续保持亮。 4)黄灯亮时,要求每秒钟闪亮一次。 5)电路必须具有自启动能力。 6)控制电路要求为交通警察保留手动控制功能,能在需要的时候人为控制 通行方向。 7)在每个路口能够显示剩余通行时间或等待时间。 8)夜间控制电路保持两个方向的红、绿灯灭,而黄灯闪亮,提醒司机注意 减速行驶。 3、设计方案 图2 交通灯切换顺序图 图 1 交通灯管理示意图

数电课设交通灯

目录 1.设计任务--------------------------------------------------------- - 1 - 2.总体设计方案------------------------------------------------------ - 1 - 2.1总述:----------------------------------------------------------- - 1 - 2.2设计思路:------------------------------------------------------- - 2 - 2.3设计总框图如下:------------------------------------------------- - 3 - 3.模块电路设计------------------------------------------------------ - 4 - 3.1秒脉冲发生模块--------------------------------------------------- - 4 - 3.2数码管显示模块--------------------------------------------------- - 5 - 3.3 led发光电路----------------------------------------------------- - 6 - 3.4主控电路--------------------------------------------------------- - 7 - 3.5交通信号灯电路-------------------------------------------------- - 10 - 4.原理总图-------------------------------------------------------- - 10 - 5.元器件清单------------------------------------------------------ - 10 - 6.调试------------------------------------------------------------ - 11 - 7.心得体会--------------------------------------------------------- - 11 - 8.参考文献------------------------------------------------------- - 12 -

数字电子技术红绿灯课程设计报告

XXXX大学 电路设计实验报告 实验课题交通红绿灯控制器姓名XXX 学号XXXXXXXXX 班级x x计算机x x班 完成日期20x x年x x月x x日

一、概述 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 交通灯控制器的系统框图如图所示: 图1交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1、满足如图3.2顺序工作流程。 图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。 它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 南北、东西方向绿、黄、红灯亮时间分别为27秒、3秒、30秒,其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 2、十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直至减到数为“0”,十字路口红、绿等交换,一次工作循环结束,而进入下一步某方向的工作循环。

三、单元电路设计与分析 1、用74LS192加减计数器减法计数实现30秒倒计时。 图2 74LS192构成的30减法计数器 如图2所示首先对计数器U1,U2设置初值30,将秒信号输入U1减计数时钟输入端,进而产生个位减信号,然后将个位计数器U1错位输出端连接至十位计数器减计数时钟输入端,从而产生十位退位。当计数结果为0时,给计数器置数信号,使得192再次从30开始倒计时。

数电课设交通灯

理工大学 课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大图纸不必装订) 2.可根据实际容需要续表,但应保持原格式不变。 指导教师签名:日期: .

.

. 专业班级 学号 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 ……………………………………装………………………………………订…………………………………………线………………………………………

(3)灵活掌握部分74LS系列集成电路的使用。 (4)掌握Multisim仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 .

相关文档
最新文档