课程设计模板

课程设计模板
课程设计模板

湖南工程学院

课程设计

课程名称EDA技术

课题名称基于FPGA的具有闰年补偿功能的

数字日历

专业

班级

学号

姓名

指导教师郭照南

2014 年12 月8 日

D题

(注:完成人员:01班的24号、30号和34号;02班的28号和29号)

湖南工程学院

课程设计任务书

课程名称EDA技术

课题基于FPGA的具有闰年补偿功能的数字

日历

专业班级电子科学与技术

学生姓名蒋玉

学号201201180134

指导老师郭照南

审批

任务书下达日期2014年12月8日星期一

设计完成日期2014年12月19日星期五

目录

一、设计总体思路

1.1设计内容 (1)

1.2设计要求 (1)

1.3设计思路 (1)

1.4设计框图 (2)

二、单元电路设计及仿真

2.1年计数器 (2)

2.2月计数器 (4)

2.3日计数器 (6)

2.4星期计数器 (8)

2.5提醒模块 (9)

2.6控制模块 (10)

2.7显示模块 (11)

三、总电路设计 (13)

四、设计调试总结与体会 (13)

五、附录 (15)

六、参考文献 (15)

附:课程设计评分表

一、设计总体思路

1.1设计内容

用FPGA为核心器件,用VHDL为设计手段设计制作一个具有大小月份自动调节和闰年补偿功能的数字日历,具体设计要求如下:

1、用7个数码管从左到右分别显示年(后两位)、月、日和星期;星期与日之间隔开一位。

2、年计数从00到99循环;月、日的计数显示均从1开始,并具备大小月份自动调节和闰年补偿功能,即日期的计数实现大月31天,小月30天,二月28天或29天(闰年)的自动调整(注意:7、8月均为大月)。

3、对星期的计数显示从1到6再到日(日用8代替);注意星期应和实际日历相吻合。

4、具备日历调整功能和节日提醒功能。

要求采用分层次描述方式,且用图形输入和文本输入混合方式建立描述文件。

1.2设计要求

1、设计思路清晰,给出整体设计框图;

用VHDL设计各单元电路,完成其功能仿真和编译并生成低层计数器;

2、在QuartusⅡ中完成顶层设计并编译通过;

3、在QuartusⅡ中完成设计下载并调试电路;

4、写出设计报告;

1.3设计思路

用FPGA为核心器件,用VHDL为设计手段设计制作一个具有大小月份自动调节和闰年补偿功能的数字日历,日历有年、月、日、星期以及特殊节日提醒的功能,根据要求,用了一个一百进制的计数器来进行年的计数,中间有一个辅助进程,闰年时让进位端输出为一;设计了一个十二进制的计数器进行月的控制,其中用了case语句,来完成该年是不是闰年以及该月是大月或者小月的控制;利用一个七进制计数器来进行星期的计时;现在就完成了我们所需要的几个计数器。设计了一个控制模块来控制是否进入下一年;然后设计了一个特殊节日提醒提醒功能,输出端接了一个LED灯,灯亮时表示提醒;最后设计动态扫描显示部分。

将这些计数器的组合,我们可以完成具有闰年补偿功能的万年历的设计,同时可以通过控制键对年、月、日进行调整。

1.4设计框图

二、单元电路设计及仿真

2.1年计数器的设计

对于年100进制的加法计数器的设计,有个位、十位的输出,用显示模块来显示,以及一个进位端,来驱动月模块二月的选择,其输入有清零端,使能端和时钟脉冲,当清零端为低电平0时此计数器被清零,而重新开始计数,当使能端为低电平时该计数器不工作处于暂停状态。

其程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY nian IS

PORT

(clk,en : IN STD_LOGIC;cr : IN STD_LOGIC;

co:out STD_LOGIC;

q1: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

y10: OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END nian;

ARCHITECTURE a OF nian IS

SIGNAL bcd1n : STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL vcd10n : STD_LOGIC_VECTOR (3 DOWNTO 0);

BEGIN

q1<=bcd1n;y10<=vcd10n;

PROCESS (clk,cr)

BEGIN

IF (cr = '0') THEN

bcd1n <= "0000"; vcd10n <= "0000";

ELSIF (clk'EVENT AND clk = '1') THEN

if (en='1') then

IF (bcd1n=9 and vcd10n=9) THEN

bcd1n <= "0000"; vcd10n <= "0000";

ELSIF (bcd1n=9) THEN

bcd1n <= "0000"; vcd10n <= vcd10n + 1; ELSE

bcd1n <= bcd1n + 1; END IF;END IF;end if;

END PROCESS;

process (bcd1n,vcd10n)

begin

if ((bcd1n=0 and vcd10n=0) or(bcd1n=4 and vcd10n=0) or(bcd1n=8 and

vcd10n=0) or(bcd1n=2 and vcd10n=1) or(bcd1n=6 and vcd10n=1)

or(bcd1n=0 and vcd10n=2) or(bcd1n=4 and vcd10n=2) or(bcd1n=8 and

vcd10n=2) or(bcd1n=2 and vcd10n=3) or(bcd1n=6 and vcd10n=3)

or(bcd1n=0 and vcd10n=4) or(bcd1n=4 and vcd10n=4) or(bcd1n=8 and

vcd10n=4) or(bcd1n=2 and vcd10n=5) or(bcd1n=6 and vcd10n=5)

or(bcd1n=0 and vcd10n=6) or(bcd1n=4 and vcd10n=6) or(bcd1n=8 and

vcd10n=6) or(bcd1n=2 and vcd10n=7) or(bcd1n=6 and vcd10n=7)

or(bcd1n=0 and vcd10n=8) or(bcd1n=4 and vcd10n=8) or(bcd1n=8 and

vcd10n=8) or(bcd1n=2 and vcd10n=9) or(bcd1n=6 and vcd10n=9)) then co<='1';else co<='0';

end if;

end process;end a;

其仿真波形如下:

2.2月计数器

对于月十二进制的加法计数器的设计,有个位、十位的输出用显示模块来显示,a,b的输出,来控制日计数器是31或30或28或29天。其输入有清零端,使能端,run控制端和时钟脉冲,当清零端为低电平0时此计数器被清零,当使能端为低电平时该计数器不工作处于暂停状态。run 为1并且计数器记为2时,ab就输出11,run为0并且计数器记为2时,ab就输出10,来控制日计数器二月的输出。

其程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY yue IS

PORT(clk,run: IN STD_LOGIC;

cr : IN STD_LOGIC;

en: IN STD_LOGIC;

a,b,co : out STD_LOGIC;

q1:OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

y10:OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END yue;

ARCHITECTURE a OF yue IS

SIGNAL bcd1n: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL vcd10n: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL vcd10nbcd1n:std_logic_vector(7 downto 0);

BEGIN

q1<=bcd1n;

y10<=vcd10n;

PROCESS (clk,cr)

BEGIN

IF (cr = '0') THEN

bcd1n <= "0001"; vcd10n <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN

if (en='1') then

IF (bcd1n=2 and vcd10n=1) THEN

bcd1n <= "0001"; vcd10n <= "0000"; ELSIF (bcd1n=9) THEN

bcd1n <= "0000"; vcd10n <= vcd10n + 1; ELSE

bcd1n <= bcd1n + 1;

END IF;

END IF;

end if;

IF (bcd1n=2 and vcd10n=1) THEN co<='1'; ELSE co<='0';

END IF;

vcd10nbcd1n<=vcd10n&bcd1n;

case vcd10nbcd1n is when"00000001"=>a<='0';b<='0'; when"00000010"=>if run='1' then

a<='1';b<='1';else a<='1';b<='0';

end if;

when"00000011"=>a<='0';b<='0'; when"00000100"=>a<='0';b<='1'; when"00000101"=>a<='0';b<='0'; when"00000110"=>a<='0';b<='1'; when"00000111"=>a<='0';b<='0'; when"00001000"=>a<='0';b<='0'; when"00001001"=>a<='0';b<='1'; when"00010000"=>a<='0';b<='0'; when"00010001"=>a<='0';b<='1'; when"00010010"=>a<='0';b<='0';

when others=>null;

END CASE;

END PROCESS;

end a;

仿真波形如下:

2.3日计数器

对于日计数器的设计,有个位、十位的输出用显示模块来显示,有一个进位端,来驱动月计算器,给月计数器时钟脉冲。其输入有清零端,使能端,s1,s2控制端和时钟脉冲,当清零端为低电平0时此计数器被清零,当使能端为低电平时该计数器不工作处于暂停状态。用了一个case语句,s1s2分别输出为00、01、10、11时,日计数器就分别计数31、30、28、29天。

程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY ri IS

PORT(clk,s1,s2:IN STD_LOGIC;

cr : IN STD_LOGIC;

en: IN STD_LOGIC;

co: out STD_LOGIC;

q1: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

y10:OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END ri;

ARCHITECTURE a OF ri IS

SIGNAL s1s2 : STD_LOGIC_VECTOR (1 DOWNTO 0);

SIGNAL bcd1n : STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL vcd10n : STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN

q1<=bcd1n;

y10<=vcd10n;

PROCESS (clk,cr,s1,s2)

BEGIN

IF (cr = '0') THEN

bcd1n <= "0001"; vcd10n <= "0000";

ELSIF (clk'EVENT AND clk = '1') THEN

IF (en='1') then

IF (bcd1n=9) THEN

bcd1n <= "0000"; vcd10n <= vcd10n + 1; ELSE

bcd1n <= bcd1n + 1;

END IF;

END IF;

s1s2<=s1&s2;

CASE s1s2 IS

WHEN "00"=>IF vcd10n=3 AND bcd1n=1 THEN

bcd1n<="0001"; vcd10n<="0000"; co<='1';

ELSE co<='0';END IF;

WHEN "01"=>IF vcd10n=3 AND bcd1n=0 THEN

bcd1n<="0001"; vcd10n<="0000"; co<='1';

ELSE co<='0';END IF;

WHEN "10"=>IF vcd10n=2 AND bcd1n=8 THEN

bcd1n<="0001"; vcd10n<="0000"; co<='1';

ELSE co<='0';END IF;

WHEN "11"=>IF vcd10n=2 AND bcd1n=9 THEN

bcd1n<="0001"; vcd10n<="0000"; co<='1';

ELSE co<='0';END IF;

END case;

END IF;

END PROCESS;END a;

仿真波形如下:

2.4星期计数器

对于星期七进制计数器的设计,有一个输出端,用显示模块来显示。其输入有清零端,使能端和时钟脉冲,当清零端为高电平1时此计数器被清零,当使能端为低电平时该计数器不工作处于暂停状态。其中设计了当输出为6后让输出为8然后回到1重新计数。

其程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY xingqi IS

PORT (CLK,RST,EN: IN STD_LOGIC;

CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END xingqi;

ARCHITECTURE BEHAV OF xingqi IS

SIGNAL CQI: STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(CLK,RST,EN)

BEGIN

IF (RST='1') THEN

CQI<="0001"; ELSIF (CLK'EVENT AND CLK='1' ) THEN

IF (EN='1') THEN

CQI<=CQI+1;IF CQI=6 THEN

CQI<= "1000";ELSIF CQI>7 THEN CQI<="0001";END IF;END IF;END IF; END PROCESS;

CQ<=CQI;END BEHAV;

仿真波形如下:

2.5提醒模块

设计这个模块是要用来特别节日提醒的,其输入端有一个异步置1端,其它四个输入端,当输入0501时,输出端会为0,此时输出端接一个LED灯,那么灯就会闪一下,表示节日提醒。

其程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity tixing is

port(cr:in std_logic;

ri1,ri2,yue1,yue2:in std_logic_vector(3 downto 0);

co:out std_logic);

end tixing;

architecture a of tixing is

begin

process(cr,ri1,ri2,yue1,yue2)

begin

IF (cr = '0') THEN

co<='1';

end if;

if

((yue2=0 and yue1=1 and ri2=0 and ri1=1)or

(yue2=0 and yue1=5 and ri2=0 and ri1=1)or

(yue2=0 and yue1=6 and ri2=0 and ri1=1)or

(yue2=0 and yue1=8 and ri2=0 and ri1=1)or

(yue2=1 and yue1=0 and ri2=0 and ri1=1))

then co<='0';

end if;

end process;

end a;

仿真波形如下:

2.6控制模块

设计控制这一部分是当月和日计数器分别输出12、31时,输出端输出为1,给年计数器一个时钟脉冲。

其程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity kongzhi is

port(

ri1,ri2,yue1,yue2:in std_logic_vector(3 downto 0);

co:out std_logic);

end kongzhi;

architecture a of kongzhi is

begin

process(ri1,ri2,yue1,yue2)

begin

if(yue2=1 and yue1=2 and ri2=3 and ri1=1)

then co<='1';

else co<='0';

end if;

end process;

end a;

仿真波形如下:

2.7显示模块

用来显示年、月、日、星期的。

程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SCAN_LED IS PORT(CLK: IN STD_LOGIC;

d0,d1,d2,d3,d4,d5,d6,d7:in STD_LOGIC_VECTOR(3 DOWNTO 0); SG: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

BT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );

END;

ARCHITECTURE one OF SCAN_LED IS

SIGNAL CNT8 : STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL A : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

P1:PROCESS( CNT8 )

BEGIN

CASE CNT8 IS

WHEN "000" => BT <= "00000001" ; A <= d0 ;

WHEN "001" => BT <= "00000010" ; A <= d1 ;

WHEN "010" => BT <= "00000100" ; A <= d2;

WHEN "011" => BT <= "00001000" ; A <= d3 ;

WHEN "100" => BT <= "00010000" ; A <= d4;

WHEN "101" => BT <= "00100000" ; A <= d5;

WHEN "110" => BT <= "01000000" ; A <= d6;

WHEN "111" => BT <= "10000000" ; A <= d7 ;

WHEN OTHERS => NULL ;

END CASE ;

END PROCESS P1;

P2:PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK = '1'

THEN CNT8 <= CNT8 + 1;

END IF;

END PROCESS P2 ;

P3:PROCESS( A )

BEGIN

CASE A IS

WHEN "0000"=> SG <= "0111111"; WHEN "0001" => SG <= "0000110"; WHEN "0010" => SG <= "1011011"; WHEN "0011" => SG <= "1001111"; WHEN "0100" => SG <= "1100110"; WHEN "0101" => SG <= "1101101"; WHEN "0110" => SG <= "1111101"; WHEN "0111" => SG <= "0000111"; WHEN "1000"=> SG <= "1111111"; WHEN "1001"=> SG <= "1101111"; WHEN "1010"=> SG <= "1110111"; WHEN "1011"=> SG <= "1111100"; WHEN "1100"=> SG <= "0111001"; WHEN "1101"=> SG <= "1011110"; WHEN "1110"=> SG <= "1111001"; WHEN "1111" => SG <= "1110001"; WHEN OTHERS => NULL ;

END CASE ;

END PROCESS P3;

END;

三、总电路设计

日历总体设计图

四、设计调试总结与体会

在拿到课题后,感觉这个容易,就是几个计数器,但是实际不简单,我太想当然了。端正态度,我一步一步出发,先做星期部分,因为这个在EDA 机试时做过,所以比较顺手,只是当时没有做到跳到6后跳8这个功能;

接着做天数部分,这里有些棘手,不能单独的做几个计数器,突然想到实

验课的基于状态机的彩灯控制器设计与调试,利用四种不同状态分别计数大月,小月,二月不同的天数;然后是月份的模块,有了日子的模块基础,这一个模块就好做多了,这个模块不是一个简单的十二进制计数器,它需要有控制天数的输出端,所以用了case语句来实现;最后做了年模块设计,刚开始我只是简单的做了一个100进制的计数器,后来做总体连线时想到闰年的补偿,所以在100进制基础上,我加了一个辅助进程,来实现闰年的补偿。而提醒部分,就只写了几个简单的语句,来提醒几个比较普遍的节日。显示部分是因为实验课做过动态扫描显示,直接运用的。

开始一个一个模块仿真,连接,天数的进位端控制月的时钟信号,月的控制年的,可是一调试,那么问题就来了,月记到12后年马上进一位,不会等到月、日记到12月31日,年再进一位,所以我开始想到用一个与门将月和日的进位端连起来送给年的脉冲信号,于是又开始调试,年部分就会在月跳到12月时进一位,月和日跳到12月31日时又进一位,所以我就设计了一个控制模块,让月和日跳到12月31日时年在进一位,最后调试成功。

两周的实践很快就结束,在这两周的时间里无论是对quartus软件的熟悉程度,还是对数字电路的基本原理的理解,以及对VHDL语言的应用及编写都有了很大的提高!两个星期的艰苦奋斗,我终于完成了设计!这次EDA课程设计让我更深刻的了解了现代数字电路系统设计相对于传统电子系统设计的模式的优势,采用模块法自顶向下设计的原则,一边设计一边调试,使系统的开发速度更快。设计的过程,

课程设计模板新

安徽省巢湖学院计算机与信息工程学院 课程设计报告 课程名称: 课题名称: 专业班级:10网络工程 同组姓名:李靖波、杨柳、朱艳萍、何学露、刘钊同组学号:09012021、、、09012011、09012034 联系方式: 指导教师:

目录 一、课程设计目的 ................................................................................................................................................. 1 二、课程设计内容 ................................................................................................................................................. 1 2.1、需求分析 ................................................................................................................................................ 1 2.2、同组人员的任务分配 ............................................................................................................................ 2 三、设计思路与步骤 ............................................................................................................................................. 2 四、程序设计 ......................................................................................................................................................... 2 五、设计结果 ......................................................................................................................................................... 5 六、设计分析与总结 ......................................................................................................................................... 11附录:参考文献 ................................................................................................................................................. 13

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

课程设计说明书范本模板

辽宁工业大学 工艺课程设计( 论文) 题目: Al-12.5 Si-3 Cu-2-2Ni-0.5Mg铸造合金热处理工艺设计 院(系): 光伏学院 专业班级: 材料工程技术102 学号: 学生姓名: 杨向天 指导教师: 李青春 教师职称: 副教授 起止时间: -7-5~ -7-16

前言 合金工具钢的淬硬性、淬透性、耐磨性和韧性均比碳素工具钢高, 按用途大致可分为刃具、模具和检验尺寸使用的量具用钢三类。合金工具钢广泛用作刃具、冷、热变形模具和量具, 也可用于制作柴油机燃料泵的活塞、阀门、阀座以及燃料阀喷嘴等。 此设计是经过在课堂学习热处理理论知识后的探索和尝试, 其内容讨论如何设计圆板牙钢的热处理工艺, 重点是制定合理的热处理规程, 并按此完成Al-12.5Si-3Cu圆板牙钢的热处理工艺设计。

目录( 小二号黑体, 段前段后1行, 1.25倍行距, 居中排列) 1 低合金刃具钢热处理工艺概述........................................ 错误!未定义书签。 2 圆板牙钢的热处理工艺设计............................................ 错误!未定义书签。 2.1 圆板牙钢的服役条件、失效形式......................... 错误!未定义书签。 2.2 圆板牙技术要求及示意图 ...................................... 错误!未定义书签。 2.3 圆板牙钢的材料选择 .............................................. 错误!未定义书签。 2.4 圆板牙9SiCr钢的C曲线...................................... 错误!未定义书签。 2.5 圆板牙9SiCr钢加工工艺流程图........................... 错误!未定义书签。 2.6 9SiCr圆板牙(M12)钢退火-淬火-回火热处理工艺错误!未定义书签。 2.7 9SiCr圆板牙钢退火、淬火、回火热处理工艺理论错误!未定义书 签。 2.8 选择设备、仪表和工夹具..................................... 错误!未定义书签。 2.9 圆板牙热处理质量检验项目、内容及要求 ........ 错误!未定义书签。 2.10 圆板牙热处理常见缺陷的预防及补救方法........ 错误!未定义书签。 3 参考文献 ............................................................................ 错误!未定义书签。

建筑施工组织课程设计任务书

建筑施工组织课程设计任务书 一、设计内容和要求 1、设计内容 1.1 工程概况和施工特点分析 (1)工程建设概况 主要介绍拟建工程的工程名称、性质、用途及工程开竣工日期、施工图纸情况,组织施工的指导思想等。 (2)工程施工概况 主要介绍拟建工程的建筑设计特点、结构设计特点、建设地点特征、施工条件及工程施工特点。 1.2 施工方案设计 施工方案设计中主要步骤为: (1)选择建筑施工流向; (2)合理划分施工段; (3)确定施工顺序; (4)选择施工用脚手架; (5)选择施工机械:包括水平和垂直运输机械、砼搅拌运输机械、砼振捣机械等; (6)砼的浇筑方案;砼的搅拌运输方法;砼的浇筑顺序及要求;砼的养护制度等。 (7)其它主要分部分项工程的施工方法。 1.3 主要技术组织措施 主要技术组织措施中应重点包括:保证工程质量措施、施工安全措施、冬雨季施工措施、降低成本措施等。 1.4 施工进度计划 施工进度计划主要包括下述内容: (1)划分施工过程; (2)计算工程量(注意工程量单位应与定额保持一致); (3)计算劳动量; (4)确定各施工过程的施工天数; (5)编制施工进度计划的初始方案; (6)检查与调整; (7)绘制正式进度计划。 1.5 资源需用量计划 资源需要量计划中可重点考虑下述内容: (1)劳动力需用量计划; (2)主要材料需用量计划; (3)构件和半成品需用量计划;

(4)施工机械需用量计划。 1.6 施工平面图 施工平面图设计中应考虑下述内容: (1)确定垂直运输机械的布置; (2)确定搅拌站、仓库、材料、构件堆场以及加工厂的位置; (3)现场运输道路; (4)临时设施布置; (5)水、电管网布置。 1.7 主要技术经济措施 (1)现场施工安全措施; (2)现场文明施工措施; (3)质量措施; (4)降低成本措施; (5)主要材料节约措施。 2、设计成果: (1)设计说明书三千到五千字,其中必须有施工方案选择的理由,分析计算过程,主体结构施工进度计划,单位工程施工进度和平面图设计的说明,并附有必要的简图。 (2)施工进度网络计划一份。(手绘,必须用尺子绘图) (3)施工平面图一份(3#图比例1:200--1:500;手绘;必须用尺子绘图)注意:如没有按要求用直尺画图,一律算零分处理,保持图纸整洁。 设计说明书及施工过程可以电子稿。 二、设计条件 1、工程概况 本工程为九江市某厂综合楼,位于前进东路,由I部和II部组成L型转角楼。采用现浇柱,预制梁,整体装配式钢筋混凝土框架结构。 (1)层高及建筑面积:I部五层,顶高21m,层高4.2m,II部为六层,顶高23.1m,1-2层层高4.8m,3、4、5层层高为3.3m,6层层高为3.6m。总建筑面积7834m2。 (2)绝对标高,±0.00相当于绝对标高425.044。 (3)结构方案:本工程为装配整体式框架结构,横向框架梁为预制迭合梁,纵向框架梁,次梁,柱,楼梯等均为现浇。楼盖除厕所、盥洗、水箱间及二层售饭处为现浇外,其余均为预制空心板,上有4cm整浇层。墙体为非承重墙,外墙为240m厚普通粘土砖墙,内墙为大孔空心砖墙。施工时横向预制梁吊装后再现浇纵向框架梁和次梁。 (4)楼地面:水泥砂浆地面用于II部厨房和库房,教室宿舍等。水磨石地面用于上述以外的其它部位,底层地面垫层为60厚100#素混凝土。 (5)顶棚及墙面:I部楼梯间为石膏板隔墙,贴白色塑料壁纸。其它顶棚及墙面均为石灰砂浆打底,纸筋灰罩面,喷白灰浆二道。 (6)外墙面:为绿色水刷石,局部构件(檐口、阳台、雨蓬)及凸出墙面

课程设计模板

矿床地下开采课程设计学院:国土资源工程学院 专业:采矿工程 指导老师:郭忠林 学号:200810104183 姓名:杨忠茹 日期:20100914

目录 1 开采技术条件 (3) 2 采矿方法的选择 (3) 2.1开采技术条件..................................................................................................... 2.2采矿方法选择..................................................................................................... 2.2连续回采的阶段自然崩落法.................................................................. 3 矿块的结构参数 (3) 4 绘制三面视图 (4) 5 开拓采准 (4) 6 采场切割 (4) 7 回采工艺 (5) 8 顶板管理。 (6) 9 经济技术指标计算 (6) 9.1采切费用计算: (6) 9.2 采准系数的计算 (7) 9.3采切比的计算 (8) 9.4 采切工程量及施工进度计划表9-3 (8) 9.5落矿参数 (9) 9.6 劳动组织形式和作业循环图表 (9) 9.7矿房回采时间 (11) 9.8 矿房回采进度计划 (11) 9.9 回采工作的主要技术经济指标计算 (12) 参考文献 (15)

1 开采技术条件 某铁矿,平均品位42%,矿体不稳固,围岩中等稳固,f=8~10,水平厚度56米,倾角75°,矿石体重3.5t/m3,地表允许崩落。 2采矿方法选择 2.1 开采技术条件 矿石不稳固,矿体厚度大,倾角较大 2.2采矿方法选择 连续回采的阶段自然崩落法 2.3连续回采的阶段自然崩落法 为了增大同时回采的采场数目,可将阶段划分为较大的分区,按分区进行回采。在分区的一端眼宽度反向掘进切割巷道,再沿长度方向拉底,拉底到一定面积后矿石便开始自然崩落,随着拉底不断向前扩展矿石自然崩落范围也随之向前推进。 3 矿块的结构参数 根据矿体的倾角75和矿体厚度56m,垂直走向布置。根据矿体厚度不同矿块布置方式有两种,矿体厚度小于或等于30m时,矿块沿走向布置矿块长度为30m--45时,矿块宽度等于矿体厚度。第二种是矿体厚度大于40时,矿体垂直走向布置,矿体长度及宽度都取30——50,当矿体倾角较缓时取40——50m,矿体倾角较陡时取60——70m,一般为50——60m,在矿体稳固性较差时,应更大

大三课程设计模板

编号: 审定成绩: 重庆邮电大学 物联网工程课程设计(报告)设计题目:行走过程中的加速度测试节点设计 学院名称:自动化学院 学生姓名:张三 专业:物联网工程 班级:0000 学号:0000 指导教师:李四 填表时间:2015 年11 月 重庆邮电大学教务处制

一、题目:行走过程中的加速度测试节点设计 二、设计任务: 运用所学传感器技术、计算机网络和物联网工程等方面的知识,设计基于三轴加速度传感器的测试节点,获取行走过程中的手臂或小腿加速度,完成数字量输入或模拟量输入的硬件设计和低功耗无线通信协议软件设计等工作。具体任务如下: 三、设计要求: 1.画出加速度测试节点的结构图。 2.选择低功耗无线通信芯片和三轴加速度传感器,设计硬件电路。 3.开发完成zigbee协议,完成与上位机的通信。 4.画出程序流程图并编写调试代码。 四、参考资料: 1. 李朝青.《单片机原理及接口技术》(简明修订版).北京航空航天大学出版社,1998年. 2. 胡向东.《传感技术》.重庆大学出版社,2006年第1版. 3. 谭浩强.《C语言程序设计》.北京:清华大学出版社,2002年. 4. 谢希仁.《计算机网络》.北京:电子工业出版社,2003年.

摘要 一级标题使用三号宋体、二级标题使用四号宋体,正文使用小四宋体。正文用小四字体,中文为宋体,英文为Times New Roman,行距要求统一,推荐1.5倍行距。 关键词:小四字体,宋体,Times New Roman

目录 1 系统方案 (1) 1.1 传感器网络概述 (1) 1.2 系统结构 (1) 参考文献 (2)

污水处理厂课程设计设计说明书及方案(模版).

1 概述 1.1 工程概况 依据城市总体规划,华东某市在城西地区兴建一座城市污水处理厂,以完善该地区的市政工程配套,控制日益加剧的河道水污染,改善环境质量。该城市现状叙述如下: 1、2号居住区人口3万,污水由化粪池排入河道;3、4号居住区人口5万,正在建设1年内完成;5号居住区人口4.5万,待建,2年后动工,建设周期2年。还有部分主要公共建筑,宾馆5座,2000个标准客房;医院2座,1500张床。以上排水系统均采用分流制系统。同时新区内还有部分排污工厂:电子厂每天排水1500m3,BOD5污染负荷为3000人口当量;食品厂每天排出污水量500 m3,污染负荷为1500人口当量。 旧城区原仅有雨水排水系统,污水排水系统的改造和建设工程计划在10年内完成,届时整个排水区域服务人口将达到18万。 依据上述情况,整个工程划分为近期和远期两个建设阶段,现在实施的工程为近期建设。近期建设周期大概在3年左右,设计服务范围应该包括新区5个已建和待建的居住区、新区内部分主要公共建筑以及2个工厂。依据环保部门以及排放水体的状况,排放水要求达到《城镇污水处理厂污染物排放标准》(GB 18918-2002)一级B标准。 1.2 设计依据 《城镇污水处理厂污染物排放标准》(GB 18918-2002) 《室外排水设计规范》(GB50101) 《城市污水处理工程项目标准》 《给水排水设计手册》,第5册城镇排水 《给水排水设计手册》,第10册技术经济 城市污水处理以及污染物防治技术政策(2002) 污水排入城市下水道水质标准CJ3082-1999 地表水环境质量标准GB3838-2002 城市排水工程规划规范GB50381-2000 1.3设计任务和范围 (1)收集相关资料,确定废水水量水质及其变化特征和处理要求; (2)对废水处理工艺方案进行分析比较,提出适宜的处理工艺方案和工艺流程; (3)确定为满足废水排放要求而所需达到的处理程度; (4)结合水质水量特征,通过经济技术分析比较,确定各处理构筑物的型式; (5)进行全面的处理工艺设计计算,确定各构筑物尺寸和设备选型; (6)进行废水处理站平面布置及主要管道的布置和高程计算; (7)进行工程概预算,说明废水处理站的启动运行和运行管理技术要求 2 原水水量与水质和处理要求: 2.1 原水水量与水质 一期工程: Q=36000m3/d

软件工程课程设计任务书(模板及参考)

编号: 大连理工大学本科生课程设计任务书 课程名称:软件工程课程设计 指导教师: 项目名称:粒子群主题网站 小组名称: 组长姓名: 上课时间:2009年7月13 日- 7月25 日 大连理工大学软件学院 20 年月日

1 设计目的 软件工程课程设计是在学生系统的学习了《软件工程》课程后,综合运用所学的知识,设计开发一个小型的实际系统。 要求学生运用软件工程的思想,严格按照软件生命周期各个阶段的目的和任务,完成对系统的定义、设计、编码、运行以及后期的维护。通过对具体系统的设计和开发,使得学生掌握软件工程的基本原理和基本方法,学会用软件工程学的概念、原理、方法开发和维护软件。 2 设计要求 (1)选用迭代式开发的过程模型,运用软件工程的方法,规范地完成规模小、功能较为简单 的软件开发,包括需求分析、设计、编码和测试。 (2)学生5人组成一个项目小组,一人为组长,其他人为组员,组内成员分工明确、团结协 作、相互配合,共同完成选题的设计。 (3)课程设计时间为2周。 (4)开发平台.NET3.5,语言(C# \ ASP),数据库设计工具Powerdesigner;数据库系统MS SQL Server 2005 (5)具体指标: 1)可以选择结构化分析/设计(SA/SD)或面向对象方法(OOA/D) 2) 结构化分析/设计(SA/SD) a)需求分析:分析系统的静态功能和动态行为 每一位小组成员能够描绘分配的子功能需求 每一位小组成员完成分配子功能的数据流图DFD,要求绘制到3级DFD3 小组负责人组织完成系统的DFD3 b)设计:完成系统的功能模块设计 每一位小组成员根据需求的DFD3图,完成分配的子功能模块设计,用HIPO 图表征。 每一位小组成员设计分配的子功能模块的接口 每一位小组成员能够完成分配的子功能程序流程的逻辑设计 小组负责人组织完成系统的总体结构集成 c)软件实现: 每一位小组成员完成分配的子功能界面的设计 每一位小组成员完成分配的子功能代码编写 小组负责人在.NET框架下,组织完成系统的实施 d)软件测试: 每一位小组成员完成分配的子功能的单元测试 小组负责人负责组织系统的测试用例,不少于20个,完成测试工作 3)面向对象方法(OOA/D):以UML为主 a)需求分析:分析系统的静态功能和动态行为

课程设计说明书模板

机械制造学课程设计说明书 题目名称 专业班级 学生姓名 学号 指导教师 机械与电子工程系 二○一四年月日

目录 一、任务书--------------- -------3 二、指导教师评阅表----------------------4 三、序言-------------------------------------------------------------------------------------------3 四、零件的分析-----------------------------------------------------------------------------------3 五、工艺规程的设计------------------------------------------------------------------------------4 (1). 确定毛坯的制造形式---------------------------------------------------------------4 (2). 基面的选择---------------------------------------------------------------------------4 (3). 制订工艺路线------------------------------------------------------------------------4 (4). 机械加工余量、工序尺寸及毛坯尺寸的确------------------------------------5 (5). 确定切削用量及基本工时---------------------------------------------------------6 六、设计心得与小结-----------------------------------------------------------------------------11 七参考文献-------------------------------------------------------------------------------------1 1

施工组织课程设计任务书.doc

毕业设计任务书——某工程施工图预算及施工组织设计 学生姓名: 指导老师:匙静 石家庄职业技术学院建筑工程系 (工程建筑管理教研室) 2005.3

编制施工图预算任务书 一.编制内容: 1.根据给定施工图完成该工程的施工图预算。 2.完成据实调整部分的材料用量分析。 3、编制基础分部工程量清单形式的招标及投标报价。 二.编制要求: 1.计算书:要求计算过程详细、完整、算式清楚。(手工计算) 2.施工图预算书:含编制说明,定额套用,取费。要求定额套用、换算正确。(手工或使用广联达预算软件上机操作) 3.据实调整材料用量:掌握据实调整材料范围,材料用量计算准确。(手工或使用广联达预算软件上机操作) 4、只编制基础土方开挖和混凝土的清单报价(手工计算后上机操作,加以 比较)。

施工图预算编制指导书 一、准备阶段:收集资料,调查研究 应掌握的有关资料有:现行《河北省建筑工程预算定额》、《河北省建筑工程费用定额》、预算工作手册、现行调价文件、施工图纸等。 1.熟悉现行《河北省建筑工程预算定额》。 要求掌握定额各章、节内容的划分,各分部、分项工程的工程量计算规则,能熟练、正确地套用、换算定额, 2.熟悉现行《河北省建筑工程费用定额》。 要求掌握建筑物、构筑物工程类别的划分,施工单位取费资质等级的划分;掌握建筑工程项目费用构成的内容,取费方法。 3.熟读施工图纸。 必须清楚地了解建筑施工图和结构施工图的内容,建筑图、结构图、细部大样等各图纸之间是否相互对应,是否有矛盾之处。对图纸中选用的标准图集,要掌握其使用方法。通过熟悉图纸,必须对该建筑的全部构造、材料做法、装饰要求等有一个清晰的认识,为编制施工图预算打好基础。4.熟悉现行调价文件及据实调价材料的价格。 二、编制建筑工程施工图预算 1.确定工程量计算项目 根据施工图纸的内容和定额项目,列出计算工程量的分部、分项名称。2.计算工程量 工程量的计算工作,在整个预算编制过程中是最繁琐,花费时间最长的一个环节,数据是否准确直接影响到施工图预算的准确性,因此,必须在工程量计算上多下功夫,才能保证预算的质量。计算时应注意:

课程设计模板

附件2:课程设计模板参考 《******》 (课程名称) 整体教学设计 (XXXX~XXXX学年第X学期) (第X学年第X学期) 课程名称: 所属系部: 制定人: 合作人: 制定时间:

××职业技术学院

课程整体教学设计 一、课程基本信息 一、课程定位 (尽可能用图形、表格表述) 1. 岗位分析: 本专业毕业生的(技术、管理)岗位分析:初次就业、二次晋升、未来发展。 指出本课程面向的主要岗位。画出其典型工作流程图。 写出该岗位的主要能力需求、知识需求和素质需求。 2. 课程分析:

标出本课程在课程体系中的位置(前导课、后续课)。 说明本课程与普通高校、中职(高职)、培训班相关课程的异同。 二、课程目标设计 总体目标: (这是课程的第一层目标,须与课程标准中相关表述一致,对于尚未制定课程标准的课程,由指定教师写出初稿,课程组教师集体研讨商定本课程的总体目标。) 能力目标:((学生)能根据××(标准、规范),运用××(知识),做××(事情)) 知识目标:(知道...;了解…;理解…;掌握…。) 素质目标:(职业道德、职业素质、职业规范在本课中的具体表现) 其它目标:(有则写,无则不写) 三、课程内容设计:

四、能力训练项目设计 五、项目情境设计 每个项目的多个情境。即该项目的由来、约束条件和工作环境。 用情境引出项目任务。情境类型尽可能齐全,情境展示尽可能生动。 六、课程进程表

注1:“第×次”指的是该次课在整个课程中的排序,也就是在“单元设计”中的标号,不是在本周内的次序。 注2.:“师生活动”指的是师生“做什么(项目、任务中的)事情;学什么内容”。此项内容在这里只是个标题,具体化为“单元设计”后,就要详细展开为“怎样做?怎样学?”。 六、第一次课设计(面向全课,力争体验)。 最后一次课设计(面向全课,高水平总结)。 七、考核方案(考核方案先由指定教师写出,然后由课程组成员集体研讨商定) 八、教学材料(指教材或讲义、参考资料、所需仪器、设备、教学软件等) 九、需要说明的其他问题 十、本课程常用术语中英文对照 附:课程整体设计体会

机械设计课程设计说明书模板.

燕山大学 机械设计课程设计说明书题目:带式输送机传动装置 学院(系):机械工程学院 年级专业: 09级机械设计及理论 学号: 0901******** 学生姓名:乔旋 指导教师:许立忠 教师职称:教授

目录 一、设计任务书.................................................................. 二、传动方案分析................................... .......................... 三、电动机的选择和参数计算........................................ 四、传动零件的设计计算................................................. 五、轴的设计...................................................................... 六、键的选择校核............................................................ 七、轴承的校核................................................................... 八、联轴器的选择及校核................................................ 九、密封与润滑的选择.................................................... 十、减速器附件及说明................................................... 十一、装配三维图........................................................ 十二、设计小结............................................................. 参考资料...................................................................

施工组织课程设计任务书模板

施工组织课程设计 任务书 1

毕业设计任务书 ——某工程施工图预算及施工组织设计 学生姓名: 指导老师: 匙静 石家庄职业技术学院建筑工程系 ( 工程建筑管理教研室) .3 2

编制施工图预算任务书 一.编制内容: 1.根据给定施工图完成该工程的施工图预算。 2.完成据实调整部分的材料用量分析。 3、编制基础分部工程量清单形式的招标及投标报价。 二.编制要求: 1.计算书: 要求计算过程详细、完整、算式清楚。( 手工计算) 2.施工图预算书: 含编制说明, 定额套用, 取费。要求定额套用、换算正确。( 手工或使用广联达预算软件上机操作) 3.据实调整材料用量: 掌握据实调整材料范围, 材料用量计算准确。( 手工或使用广联达预算软件上机操作) 4、只编制基础土方开挖和混凝土的清单报价( 手工计算后上 机操作, 加以比较) 。 3

施工图预算编制指导书 一、准备阶段: 收集资料, 调查研究 应掌握的有关资料有: 现行《河北省建筑工程预算定额》、《河北省建筑工程费用定额》、预算工作手册、现行调价文件、施工图纸等。 1.熟悉现行《河北省建筑工程预算定额》。 要求掌握定额各章、节内容的划分, 各分部、分项工程的工程量计算规则, 能熟练、正确地套用、换算定额, 2.熟悉现行《河北省建筑工程费用定额》。 要求掌握建筑物、构筑物工程类别的划分, 施工单位取费资质等级的划分; 掌握建筑工程项目费用构成的内容, 取费方法。 3.熟读施工图纸。 必须清楚地了解建筑施工图和结构施工图的内容, 建筑图、结构图、细部大样等各图纸之间是否相互对应, 是否有 4

课程设计模板

山西大学商务学院 《软件工程课程设计》报告 题目:基于手机的智能家居控制系统的设计与实现班级:??嵌入式?班 组长: 组员姓名:

说明 一、设计题目:基于手机的智能家居控制系统的设计与实现 二、小组成员及任务分配情况 姓名学号任务分配 软件工程课程总体设计报告、???? 系统可行性分析文档、???? 系统需求分析文档、???? 系统详细设计文档、???? 系统测试文档、????

目录 1. 开发目的和意义 (3) 1.1 开发背景和意义 (3) 1.2 完成情况 (3) 2. 开发相关技术及方法 (2) 2.1 开发环境 (2) 2.2 相关技术及方法 (2) 3. 系统分析 (3) 3.1 可行性分析 (3) 3.2 需求分析 (3) 3.2.1 性能需求 (3) 3.2.2 功能分析 (3) 3.2.3 行为模型 (4) 4. 系统设计 (6) 4.1 总体设计 (6) 4.2 详细设计 (6) 4.2.1 用户登录控制模块的设计 (6) 4.2.2 网络管理模块的设计 (7) 4.2.3 温度控制模块的设计 (7) 4.3 数据库设计 (8) 4.3.1 概念结构设计 (8) 4.3.2 逻辑结构设计 (8) 4.3.3 数据表设计 (8) 5. 系统实现 (10) 5.1 用户注册界面 (10) 5.2 用户登录界面 (10) 5.3 手机端远程桌面控制实现界面 (10) 6. 系统测试 (11) 6.1 测试方法 (11) 6.2 测试用例及结果 (11) 6.3 测试记录和结果反馈 (11) 7.实践总结 (13) 7.1 小结 (13) 7.2 实践感想 (13) 附录1 系统可行性分析文档 (15) 附录2 系统需求分析文档 (20) 附录3 系统详细设计文档 (24)

中南大学混凝土课程设计实用模板

预应力混凝土简支梁设计 一多层房屋的预应力混凝土屋面梁,构建及截面尺寸如图二所示。先张法施工时在工地临时台座上进行,在梁的受拉、受压区均采用直径10mm 的热处理45Si2Cr 直线预应力钢筋,分别在梁的受拉、受压区采用锥形锚具一端同时超张拉钢筋,养护时预应力钢筋与张拉台座间温差为25℃,混凝土达到设计强度后放松预应力钢筋,混凝土采用C40,非预应力钢筋采用HPB235钢筋。现已知该梁 为 一般不允许出现裂缝构件,承受均布恒载标准值为m KN g k 6.18=(含自重),均 布活载标准值m KN g k 12=,活载准永久值系数5.0=q ψ,按《混凝土结构设计 规范(GB50010-2002)》设计该梁。要求: (1)进行梁的正截面承载力计算,估算纵向预应力钢筋,并根据构造要求估算非 预应力钢筋。 (2)计算总预应力损失。 (3)验算梁的正截面承载力计算,确定梁的纵向预应力钢筋和非预应力钢筋。 (4)进行梁的斜截面承载力计算,确定梁的箍筋。 (5)验算梁的使用阶段正截面抗裂能力是否满足要求。 (6)验算梁的使用阶段斜截面抗裂能力是否满足要求。 (7)验算梁的使用阶段挠度是否满足要求。 (8)验算梁在施工阶段及抗裂能力是否满足要求。

设计计算 1、计算梁的正截面承载力,估算纵向预应力钢筋,并根据构造要求估算预非应力钢筋。 1)设计计算条件 m l 75.80= m l n 5.8= C40混凝土:2/40mm N f cu = 2/1.19mm N f c = 2/76.1mm N f t = 2/8.26mm N f ck = 2/39.2mm N f tk = mm N E c /1025.34?= 0.11=α 45Si2Cr 热处理预应力钢筋:2/1470mm N f ptk = 2/1040mm N f py = 25/100.2mm N E p ?= 2/400mm N f py =' HPB235非预应力钢筋:2/210mm N f y = 2/210mm N f yv = 2/210mm N f y =' 25/101.2mm N E s ?= 2) 内力计算 ① 跨中最大弯矩: m KN l q g M k k ?=??+??=+=4.37475.8)124.16.182.1(8 1 )4.12.1(8 1 22

机械班机械设计课程设计任务书模板

《机械设计》课程设计任务书 ( 12机械1班) 一、课程设计的目的 1、综合运用机械设计基础课程及其它先修课程的理论和生产实际知识进行 机械设计训练, 使理论和生产实际知识密切地结合起来, 从而使这些知识得到进一步巩固、加深和扩展。 2、学习和掌握通用机械零件、机械传动装置或简单机械的一般 设计方法, 培养学生工程设计能力和分析问题、解决问题的能力。 3、对学生在计算、制图、运用设计资料( 包括手册、标准和 规范等) 以及 经验估算等机械设计方面的基本技能进行一次训练, 以提高这些技能的水平。 二、课程设计的要求 1、明确学习目的, 端正学习态度; 2、在教师的指导下, 由学生独立完成; 3、正确处理理论计算与结构设计的关系; 4、正确处理继承与创新的关系; 5、正确使用标准和规范。 三、课程设计时间、分组及指导管理 时间: 16-18教学周( .12.15- .1.4)

本次课程设计时间为三周, 一般分八个阶段进行, 每个阶段的主要工作内容的时间分配, 如下表, 仅供参考。 各班分组: 每班按10人分成一个小组, 每组的第一名同学为组长, 请各组长及时报送名单。 课程设计班级: 12机械1班

四、设计题目 V带传动及两级圆柱齿轮减速器 第一组题目: 每位学生的题目必须与指定的传动类型、题号和对应的原始数据相同,不允许擅自更换传动类型、题目和原始数据。 12机械①第一组 题号班级学号姓名 1 12机械①江涛 2 12机械①王浩 3 12机械①马良丰 4 12机械①许瀚 5 12机械①刘大伟 6 12机械①朱江波 7 12机械①程磊 8 12机械①江平 9 12机械①张东 10 12机械①张先发 第一组题目要求: 设计用于带式运输机的减速器, 工作有轻微振动, 两班制工作, 运输带允许速度误差为5%, 减速器小批量生产, 使用寿命8年, 动力来源: 三相交流电( 220V/380V) 。

c++课程设计模板

课程设计 设计题目:___电话薄管理系统____ 班级:13- 学号:201 学生姓名:______ 设计地点(单位)_第二、三实验室________ 指导教师评语:__该生按照计划要求,在心电话薄管理系统中完成了“”模块,系统运行正常,达到了预期要求。_ 成绩:________________ 教师签名:_________田生伟_________ 完成日期:2014年07月2日

课程设计任务书设计题目:电话薄管理系统 学生姓名 课程名称面向对象程序设计课程设 计 专业班级13级软件工程 地点第二、三实验室起止时间2014.6.19-2014.7.2 设计内容及要求 利用c++语言的文件操作能力设计开发一个小型的管理信息系统,至少具有如下功能:电话薄的的查询:按人名、电话号码查询用户信息,具有增、删、改、排序等功能。具有文件存储、按人名随机查找高级功能。操作界面要符合用户的一般习惯,图形或文本界面都可以。 要求:明确课程设计的目的,能根据课程设计的要求,查阅相关文献,为完成设计准备必要的知识;提高学生用高级语言进行程序设计的能力,重点提高用C++语言进行文件操作和绘图应用的编程技术水平;初步了解软件开发的一般方法和步骤;提高撰写技术文档的能力。 设计参数 进度要求1.布置设计题目,讲解要求和说明2014.6.19-2014.7.2 2.确定设计任务,确定具体的功能,熟悉相关知识2014.6.20 3.按功能需求确定程序的主要模块201 4.6.22 4.编程实现程序的功能2014.6.22-2014.6.28 5.调试并完善程序2014. 6.29-2014.6.30 6.撰写课程设计报告2014. 7.1 7.提交课程设计报告并答辩2014.7.1-2014.7.2 参 考 资 料 《C++程序设计语言》清华大学出版社20083 其 它 说明1.本表应在每次实施前一周由负责教师填写二份,院系审批后交院系办备案,一份由负责教师留用。2.若填写内容较多可另纸附后。 3.一题多名学生共用的,在设计内容、参数、要求等方面应有所区别。 教研室主任:指导教师:

数据库课程设计任务书模板及参考

数据库课程设计任务书模板及参考

大连理工大学本科生课程设计任务书 课程名称:数据库课程设计 指导教师:田琳琳 项目名称:粒子群主题网站 小组名称: 组长姓名: 上课时间: 6月22日- 7 月11日 大连理工大学软件学院 年6 月22 日

1 设计目的 数据库课程设计是在学生系统的学习了《数据库系统原理》课程后,按照关系型数据库的基本原理,综合运用所学的知识,设计开发一个小型的数据库管理信息系统。 经过这一具体问题的分析、设计与实现,将原理与应用相结合,使学生学会如何把书本上学到的知识用于解决实际问题,培养学生的动手能力;另一方面,使学生能深入理解和灵活掌握教学内容。 2 设计要求 (1)根据关系型数据库的设计要求,完成项目的数据库概念模型、 物理模型的设计,能够部署到一个DBMS中,开发存储过程和触发器,完成DB层的功能实现。 (2)学生6人组成一个项目小组,一人为组长,其它人为组员,组 内成员分工明确、团结协作、相互配合,共同完成选题的设计。 (3)课程设计时间为2周。 (4)数据库管理系统MS SQL Server,开发语言(C# \ASP),数据 库设计工具Powerdesigner。系统中的数据表设计应合理、高效,尽量减少数据冗余; (5)具体指标如下:

1)完成概念模型CDM的设计 a)每个小组成员至少抽象出一个数据字典,定义出数据字 典对应得实体和属性 b)汇总实体,小组共同设计出E-R模型 2)完成物理模型PDM的设计 a)每个小组成员依据自己设计的数据字典和实体及其属 性,同时结合选择的DBMS SQL Server要求,定义出相应得表结构和列字段 b)每个小组成员继续定义个人设计表的主键、索引 c)每个小组成员对个人设计表中,至少定义一个列字段约 束 d)小组完成所有表的表名和列名的统一,定义所有的外健 及其类型,完成PDM的模型 e)按照3范式检查数据库PDM模型 3)完成物理模型在DBMS SQL Server中的部署 a)在DBMS SQL Server中设计合适的数据库空间和用户及角 色 b)根据PDM模型,生成创立数据库的.sql文件 c)连接到DBMS SQL Server下,运行.sql文件,数据库部署 完毕 4)数据库操作程序设计 a)每个小组成员至少要对5个表进行操作;

课程设计模板

《生产实习》课程教学大纲 (黑体,三号,居中,段前段后0,1.5倍行距) (课程基本信息:黑体,五号,左对齐,段前段后0,1.5倍行距) 课程名称:生产实习 课程代码: 适用专业:机械设计制造及其自动化 学分:2 学时:40 (其中:理论学时: 0 实践学时:40 ) 课程性质:必修 先修课程: 开课单位:机电工程学院 版本:2016.0 一、课程简介(一级标题:黑体,五号,首行缩进2个汉字,段前段后0,1.5倍行距) 二、课程目标 (一)课程具体目标(二级标题:黑体,五号,首行缩进2个汉字,段前段后0,1.5倍行距) 1.(三级及以下标题:宋体,五号,首行缩进2个汉字,段前段后0,1.5倍行距)(正文:宋体,五号,首行缩进2个汉字,段前段后0,1.5倍行距) 2. …… 说明:1. 课程目标是本课程支撑的毕业要求指标点在本课程上的具体体现,将相应指标点结合本课程具体情况进行一对一描述; 2.除了本课程强支撑的毕业要求指标点之外,也可适当增加本课程能够(弱)支撑的指标点对应的课程目标,尤其是强支撑指标点较少的课程应适当增加,并在表1的“达成度”栏备注“弱支撑”。弱支撑的课程目标在教学大纲第五部分“考核方式与成绩评定方法”中可以不体现。 (二)课程目标与专业毕业要求的关系 说明:需描述出本课程支撑所属专业“毕业要求”的指标点和达成度,参考表格如表1

所示。 表1 本课程对培养目标(或毕业要求)及其指标点的支撑(样表)(表头:黑体,五号,居中,段前段后0,1.5倍行距) (表体:宋体,五号,左对齐,段前段后0,单倍行距) 三、教学内容及基本要求 (一)实习教学 (说明:实践类课程描述实践教学内容。) 1. 单元1: XXXXX (1)教学目标 (说明:描述该单元教学目标,明确该单元支撑的课程目标。) (2)教学内容及要求 (说明:描述该单元教学内容和基本要求) 2. 单元2: XXXXX (二)其他要求(可选) 四、教学安排与方法 (一)教学方法 (说明:教学组织实施过程中对学生学习、设计等提出的其他要求。) 表2 课程目标与教学环节

相关文档
最新文档