加法器电路设计全加器

加法器电路设计全加器
加法器电路设计全加器

课设报告

课程名称集成电路设计方向综合课程设计实验项目加法器

实验仪器PC机、candence软件

系别______理学院_

姓名______ 杨凯__ __

实验日期____ __________

成绩_______________________

目录

一、概述 (3)

1.1课题背景 (4)

1.2课题意义 (4)

二、设计流程 (5)

三、课设内容 (5)

四、实验原理 (5)

4.1加法器基本原理 (5)

4.1.1 半加器基本原理 (5)

4.1.2 全加器基本原理 (6)

4.2.镜像加法器 (8)

五、上机步骤: (10)

5.1.画电路图步骤 (10)

5.2画版图步骤 (11)

六、加法器电路图: (11)

6.1原理图: (12)

6.2全加器电路图结构 (13)

6.3自己画的电路图 (13)

6.4波形验证: (14)

6.5 TRAN(瞬态)分析 (14)

6.6波形输出参数 (15)

6.728管全加器网表 (17)

6.8仿真波形 (18)

6.9编译仿真波形结果分析 (18)

七、版图设计 (19)

7.1版图 (19)

版图(L AYOUT)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。版图设计流程: (19)

7.2版图设计规则 (20)

7.3修改前版图 (21)

7.4修改后版图 (22)

八、课设心得 (23)

一、概述

集成电路是采用专门的设计技术和特殊的集成工艺技术,把构成半导体电路的晶体管、二极管、电阻、电容等基本单元器件,制作在一块半导体单晶片(例如硅或者砷化镓)或者陶瓷等绝缘基片上,并按电路要求完成元器件间的互连,再封装在一个外壳内,能完成特定的电路功能或者系统功能,所有的元器件及其间的连接状态、参数规范和特性状态、试验、使用、维护、贸易都是不可分割的统一体,这样而得的电路即是集成电路。

全加器作为基本的运算单元,在很多VLSI系统中都有很广泛的应用,是构建微处理器和DSP等运算电路的核心。随着信息技术的不断发展,VLSI的集成度不断提高,人们对运算电路速度、功耗提出了新的要求,以降低功耗提高速度为目标,许多解决方案不断被提出。如果能将速度、功耗、面积这些性能改进,势必对集成电路整体性能有所提升。

本文基于国际SMIC 0.18μm 1P6M 数字工艺、1.8V电源电压,计了一种电路结构简单,延时小,功耗低,芯片面积小的全加器结构;该全加器单元共用11只晶体管,通过在关键路径上采用三管XNOR门实现高速进位链,并且用反相器补充由于阈值电压损失造成的关键路径上逻辑电位的下降,满足了高速和低功耗的要求;用Verilog代码实现了全加器电路功能;使用cadence软件,绘制了全加器原理图、对原理图进行编译仿真,并验证了仿真结果。本文提出的全加器结构在速度、功耗、面积性能上均有很大的提升。

The integrated circuit is the use of a special design techniques and special integration technology, the transistors constituting the semiconductor circuit, diodes, resistors, capacitors, and other basic single components, fabricated in a semiconductor single wafer (e.g.

silicon or gallium arsenide) or a ceramic insulatingon the base sheet, and press the circuit required to complete the interconnection between the components, and then encapsulated in a housing, to complete a specific circuit function or system function, and all of the components and their connection status, parameter specifications and characteristics of state, trial,use, maintenance, are indivisible unity of the trade, derived from the circuit so that the integrated circuit.

The full-adder as the basic computing unit, has a very wide range of applications in many VLSI systems is to build the core of the microprocessor and DSP arithmetic circuit. With the continuous development of IT, VLSI integration and speed of the arithmetic circuit, power consumption, new requirements, increase speed to reduce power consumption as the goal, many solutions are constantly being raised. If you can speed, power and area performance improvements, the bound has improved the overall performance of integrated circuits.

Based on the International SMIC 0.18μm 1P6M digital process, supply voltage 1.8V, namely, a circuit structure is simple, small delay, low power consumption, small chip area of the full adder structure; the unit share 11 transistors, three XNOR gate in the critical path to achieve high-speed carry chain, and to supplement the decline in the potential of logic on the critical path due to the loss of threshold voltage caused by the inverter to meet the requirements of high-speed and low power consumption. Verilog code to achieve the functionality of the full adder circuit; cadence software, draw a schematic diagram of the full adder, compiled simulation, schematic and verify the simulation results. The full adder structure proposed in this paper have greatly improved in speed, power and area performance.

1.1课题背景

随着半导体集成电路制造工艺不断进步,特征尺寸不断缩小,工艺特征尺寸缩小到纳米级;工艺技术对结构的影响通过几十年的积累产生了质的变化,关于纳米工艺下的CMOS集成电路设计的研究也越来越重要。随着集成电路的设计进入到纳米时代,片内晶体管数目的增加,大大增加了芯片复杂度,晶体管特征

尺寸的缩小则增加了物理设计的难度(纳米级的物理设计需要考虑串扰、片内参数漂移、可生产性、电源完整性等一系列问题),这些都大幅度增加了设计成本及设计周期。在0.18微米之后晶体管工作电压难以随着工艺的进步而降低,虽然每个晶体管的功耗随着特征尺寸的缩小有所减少,但晶体管数目的增加以及主频的提高使得整个芯片的功耗大幅度增加,这部分功耗在芯片上产生热量使得芯片温度上升,会导致芯片效率降低或者操作错误,也会使得便携式电子产品的电池寿命降低。所以在纳米工艺条件下对集成电路新结构的探索和追求以及对功耗问题的研究已经成为芯片系统设计的主题,更是集成电路领域一直发展的趋势。

全加器需要两个半加器组合,即全加器需要复杂性强得多的体系来完成逻辑运算。近年来,实现全加器的各种逻辑类型相继被提出来,根本目的在于提高全加器速度和降低功耗。由于全处理器需要更复杂性的分子体系,所以对全加器的性能有着越来越高的要求,总的来说,设计的鲁棒性、硅片面积、可靠性、驱动能力、输出阈值损失、延迟和功耗这些都可以作为衡量加法器性能的指标。是设计全加器时需要着重考虑的因素。

1.2课题意义

全加器作为基本的运算单元,在很多VLSI系统中都有很广泛的应用,是构建CPU和DSP等运算电路的核心,其速度和功耗以及面积等的性能将直接影响到整个集成电路的表现;如果能将这些性能改进,势必对集成电路整体性能有所提升;而随着信息技术的不断发展,人们对低功耗,高性能和高集成度的不断追求,电源电压不断降低,特征尺寸不断减小,已经达到纳米级水平,由此在集

成电路设计中越来越多新的物理效应需要加以考虑,比如低电源电压下的信号驱动能力、互连延迟,纳米集成电路的漏电,功耗密度和物理实现等等;这些对低功耗高速度的追求对在纳米工艺下设计全加器的提出了许多挑战。本文提出的11晶体管1位全加器,较当今各种文献介绍的全加器结构在速度和功耗以及尺寸上都有很大提升。

二、设计流程

基于SMIC 0.18μm 1P6M 纳米CMOS工艺,设计了一种电路结构简单,延时小,功耗低,芯片面积小的全加器结构,该全加器单元共只用了28个只晶体管。用Candence的Virtuso软件完成了电路原理图的绘制、编译、仿真等工作,并进行结果分析。并完成版图的设计,和布局布线。

三、课设内容

1. 查找文献,设计一个加法器电路;

2. 给予Candence的Virtuso平台画出电路图;

3. 采用Spectre对加法器进行仿真,主要仿真内容:加法器功能、负载电容、功耗;

4. 基于Virtuso平台画出加法器电路的版图,包括MOS晶体管的版图;

5. 提交课设报告;

6.完成答辩。

四、实验原理

4.1 加法器基本原理

加法器有全加器和半加器之分。全加器和半加器的区别在于,全加器有三个输入,半加器有两个输入,既全加器比半加器多了一个来自低位的进位输入,但全加器可由两个半加器构成。

4.1.1 半加器基本原理

1)半加器原理

一个半加器有两个输入x和y以及两个输出(和s与进位输出c)。半加器表达式:

s=x⊕y

(2-1)

c=x.y;

(2-2)

其中x和y是输入,s为和,c为进位输出。

2)半加器真值表

表2.1 半加器真值表

3)半加器门级逻辑

图2.1 半加器逻辑图 图2.2 半加器符号

4.1.2 全加器基本原理

1)全加器原理

全加器是一个能对两个一位二进制数及来自低位的“进位”进行相加,产生

本位“和”及向高位“进位”的逻辑电路,该电 路有3个输入变量,分别是两个加数 a 和b 和一个低位 C ,两个输出变量,分别是本位Sum 和高进位 CARRY 。一位全加器的逻辑表达式:

Sum=A ⊕B ⊕C 1 0 1 0 1 1 0 1

(2-3)

CARRY=AB+ C(A+ B)

(2-4)

其中A,B 为加数和被加数,C为进位输入;SUM 为和,CARRY是进位输出;

2)全加器逻辑

图2.3 全加器门及逻辑图图2.4 基于半加器的全加器设计图

3)一位全加器的真值表

表2.2 全加器真值表

C是进位输入,A和B是加法器的输入,sum是和输出,carry是进位输出、当加法器内部产生进位输出CARRY时,进位产生函数C(即A,B)为1.当进位传输函数P(即:A+B)为1时,进位输入信号C传送到进位输出CARRY

端,即此时若C=1.则CARRY=1。

通过优化进位门,可以减小逐位进位。例如,对组合逻辑加法器可做如下优化:(1)把进位输入信号C控制的MOS管放置在靠近输出端的地方,使其他各输入信号能够先对门电路进行控制,以减少受C控制的MOS管的衬偏调制效应。

(2)在求“和”门中,栅极与carry’相连的所有MOS管采用最小的尺寸,以使carry’信号的电容负载最小。这个信号的连线也尽可能地短,并且少用扩散区作为连线。

4.2. 镜像加法器

4.3. 电路图

五、上机步骤:

5.1. 画电路图步骤

1.打开PC机;

2.打开虚拟机进入Linux环境;

3.在桌面按右键选择新建终端;

4.输入icfb 进入操作环境;

5.建立自己的库文件;

6.画原理图,保存并检查错误;

7.更改各个器件的参数;

8.设置仿真环境;

9.选择要观察的线路;

10.查看波形是否符合要求,不符合要求就去原理图改输入信号,然后再从

新按步骤进行操作,直到符合要求。

11.调器件画版图

12.检查布局布线规则

5.2 画版图步骤

在做完电路图的基础上画版图:

1.添加画版图的文件

2.输入icfb& 进入操作环境

3.Tools----Technology File Manager-----Attach(选择自己的库和所加

库对应即可)

4.在自己的库文件下建立一个单元文件,画版图,保存并检查错误六、加法器电路图:

6.1 原理图:

6.2 全加器电路图结构

实验一1位全加器电路设计

实验一1位全加器电路的设计 一、实验目的 1、学会利用Quartus Ⅱ软件的原理图输入方法设计简单的逻辑电路; 2、熟悉利用Quartus Ⅱ软件对设计电路进行仿真的方法; 3、理解层次化的设计方法。 二、实验内容 1、用原理图输入方法设计完成一个半加器电路。并进行编译与仿真。 2、设计一个由半加器构成1位全加器的原理图电路,并进行编译与仿真。 3、设计一个由1位全加器构成4位加法器的原理图电路,并进行编译与仿真。 三、实验步骤 1. 使用Quartus建立工程项目 从【开始】>>【程序】>>【ALtera】>>【】打开Quartus软件,界面如图1-1示。 图1-1 Quartus软件界面 在图1-1中从【File】>>【New Project Wizard...】新建工程项目,出现新建项目向导New Project Wizard 对话框如图1-2所示。该对话框说明新建工程应该完成的工作。

在图1-2中点击NEXT进入新建项目目录、项目名称和顶层实体对话框,如图1-3 所示,顶层实体名与项目名可以不同,也可以不同。输入项目目录如E:\0512301\ first、工程项目名称和顶层实体名同为fadder。 图1-2 新建工程向导说明对话框 图1-3 新建工程目录、项目名、顶层实体名对话框

接着点击NEXT进入新建添加文件对话框如图1-4所示。这里是新建工程,暂无输入文件,直接点击NEXT进入器件选择对话框如图1-5所示。这里选择Cyclone 系列的EP1C6Q240C8。 图1-4 新建添加文件对话框

图1-5器件选择对话框 点击NEXT进入添加第三方EDA开发工具对话框如图1-6所示。

全加器与半加器原理及电路设计

全加器与半加器原理及电路设计 在数字系统中,加法器是最基本的运算单元。任何二进制算术运算,一般都是按一定规则通过基本的加法操作来实现的。 1.二进制 十进制中采用了0,1,2,…,9十个数码,其进位规则是“逢十进一”。当若干个数码并在一起时,处在不同位置的数码,其值的含义不同。例如373可写成 二进制只有0和1两个数码,进位规则是“逢二进一”,即1+1=10(读作“壹零”,而不是十进制中的“拾”)。0和1两个数码处于不同数位时,它们所代表的数值是不同的。例如10011这个二进制数,所表示的大小为 这样,就可将任何一个二进制数转换为十进制数。 反过来,如何将一个十进制数转换为等值的二进制数呢?由上式可见 ,,,,分别为相应位的二进制数码1或0。它们可用下法求得。 19用2去除,得到的余数就是;其商再连续用2去除,得到余数,,,,直到最后的商等于0为止,即 2 1 9 余数 ……………………………….余1(d0) ………………………………余1(d1) ……………………………….余0(d2) ……………………………….余0(d3) 0 …………………………… …余1(d4) 所以 可见,同一个数可以用十进制和二进制两种不同形式表示,两者关系如表8-13所示。 表8-13 十进制和二进制转换关系

由表8-14可直接写出 半加器可以利用一个集成异或门和与门来实现,如图8-40(a)所示。图8-40(b)是半加器的逻辑符号。 表8-14 半加器真值表 1101 由真值表可分别写出输出端Si和Ci的逻辑表达式 和的逻辑表达式中有公用项,因此,在组成电路时,可令其共享同一异或门,从而使整体得到进一步简化。一位全加器的逻辑电路图和逻辑符号如图8-41所示。 图8-41 全加器逻辑图及其逻辑符号 多位二进制数相加,可采用并行相加、串行进位的方式来完成。例如,图8-42所示逻辑电路可实现两个四位二进制数和的加法运算。

实验一-加法器的设计与实现讲解

实验项目二:简单计算器设计与实现基本要求: 1. 能够实现加减运算 2. 能够实现乘法运算 扩展要求: 1.能够实现除法运算 一、实验目的 利用原件例化语句完成一个8位加法器的设计。 二、实验环境 Quartus II 开发系统 三、实验内容 1、掌握层次化设计的方法; 2、掌握一位全加器工作原理; 3、掌握用VHDL文本输入法设计电子线路的详细流程; 4、掌握元件例化语句用法; 5、熟悉软硬件设计验证方法。 四、实验过程 设计思想: 8位二进制加法器可以由8个全加器通过级联的方式构成。根据全加器级联的原理,用VHDL设计一个8位二进制数的加法器,可以先设计一个一位全加器,然后利用一位全加器采用元件例化的方式实现加法器。 实验步骤: 1、设计一个全加器 新建工程,建立源文件,输入VHDL设计文件,如下图所示:

完成设计文件输入后,保存文件,对文件进行编译、仿真,以下是仿真结果,如图所示: 由图可知仿真结果正确。 2、元件例化 把VHDL设计文件转为原理图中使用的元件。在文件菜单File中选择Creat/Update选项,单击Create Symbol File for Current File 选项,系统自动生成相应的元件标号。 重复新建文件的操作,选择Block Diagram/Schmatic File 选项,新建一个原理图文件,在添加元件列表中可以看到自动生成的元件,选择full_adder这个元件添加到原理图中,如下图所示:

3、完成顶层图的设计 用生成的元件标号,完成顶层图的设计。这里有两种方法,一种是直接用原理图设计,根据原理图设计工具的使用方法,完成顶层文件的设计,这个方法比较复杂,所以这里选择另一种方法,通过VHDL设计文件。 继续建立源文件,输入VHDL设计文件,如下图所示: 依照上述步骤,保存文件,对文件进行编译、仿真,以下是仿真结果,如图所示:

半加器全加器的工作原理和设计方法实验报告

一、实验目的 1、学习和掌握半加器全加器的工作原理和设计方法。 2、熟悉EDA工具Quartus II的使用,能够熟练运用Vrilog HDL语言在 Quartus II下进行工程开发、调试和仿真。 3、掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法, 掌握层次化设计方法。 4、掌握半加器、全加器采用不同的描述方法。 二、实验容 1、完成半加器全加器的设计,包括原理图输入,编译、综合、适配、仿真等。并将半加器电路设 置成一个硬件符号入库 2、建立更高层次的原理图设计,利用1位半加器构成1位全加器,并完成编译、综合、适配、仿 真并硬件测试 3、采用图形输入法设计1位加法器分别采用图形输入和文本输入方法,设计全加器 4、实验报告:详细叙述1位全加法器的设计流程,给出各层次的原理图及其对应的仿真波形图, 给出加法器的上时序分析情况,最后给出硬件测试流程和结果。 三、实验步骤 1、建立一个Project。 2、编辑一个VHDL程序,要求用VHDL结构描述的方法设计一个半加器 3、对该VHDL程序进行编译,修改错误。 4、建立一个波形文件。(根据真值表) 5、对该VHDL程序进行功能仿真和时序仿真 四、实验现象 任务1:半加器真值表描述方法 代码如下: 半加器是只考虑两个加数本身,而不考虑来自低位进位的逻辑电路 S=A B+A B CO=AB

代码如下: LIBRARY IEEE; --行为描述半加器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(a,b:IN STD_LOGIC; so,co:OUT STD_LOGIC); END h_adder; Architecture FH1 OF h_adder IS Signal abc:STD_LOGIC_vector(1 downto 0); Begin abc<=a&b; --并 Process(abc) --进程 begin case abc is WHEN "00"=>SO<='0';CO<='0'; WHEN "01"=>SO<='1';CO<='0'; WHEN "10"=>SO<='1';CO<='0'; WHEN "11"=>SO<='0';CO<='1'; WHEN OTHERS =>NULL; END CASE; END PROCESS; END ARCHITECTURE FH1; 结果如下: 逻辑图 半加器真值表 A i B i S i C i 0 0 0 1 1 0 1 1 0 0 1 0 1 0 0 1

半加器和全加器及其应用

实验二半加器和全加器及其应用 一、实验目的 1.掌握全加器和半加器的逻辑功能。 2.熟悉集成加法器的使用。 3.了解算数运算电路的结构。 二、实验设备 1.数字电路试验箱; 2.74LS00,74SL86。 三、实验原理 半加器(m =0半加,m=1为半减) 能实现两个一位二进制数的算术加法及向高位进位,而不考虑低位进位的逻辑电路。 它有两个输入端,两个输出端。 半加器电路是指对两个输入数据位进行加法,输出一个结果位和高位的进位,不考虑输入数据的进位的加法器电路。 是实现两个一位二进制数的加法运算电路。数据输入A 被加数、B加数,数据输出S和数(半加和)、进位C0。 同理,能对两个1位二进制数进行相减不考虑低位来的借位求得差及借位的逻辑电路称为半减器.设减数和被减数分别用A和B,表示差用S,表示向高位的借位用C0。

全加器,全减器(m =0为全加,m=1为全减) 全加器是实现两个一位二进制数及低位来的进位数相加(即将三个一位二进制数相加),求得和数及向高位进位的逻辑电路。根据全加器功能,其真值表如下表所示。表中A及B分别代表被加数及加数,C1是低位来的进位,S代表相加后得到的和位,C0代表向高位的进位。图中C1是进位输入端,C0是进位输出端。 同理,能对两个1位二进制数进行相减并考虑低位来的借 位求得差及借位的逻辑电路称为全减器.设减数和被减数 分别用A和B表示低位来的借位用C1,表示差用S,表 示向高位的借位用C0。 四、实验内容 实验一、实现半加器,半减器,当M为0时实现逻辑 变量A、B的半加功能,当M为1时实现逻辑变量A、 B的半减功能。 实验二、实现全加器,全减器,当M为0时实现逻辑 变量A、B的全加功能,C i为进位值。 当M为1时实现逻辑变量A、B的全减功能,C i为借 位值。 五、实验数据 1实现半加、半减器 (1)真值表

计组-加法器实验报告

半加器、全加器、串行进位加法器以及超前进位加法器 一、实验原理 1.一位半加器 A和B异或产生和Sum,与产生进位C 2.一位全加器 将一位半加器集成封装为halfadder元件,使用两个半加器构成一位的全加器 3.4位串行进位加法器 将一位全加器集成封装为Fulladder元件,使用四个构成串行进位加法器

4.超前进位加法器(4位) ⑴AddBlock 产生并行进位链中的ti(即Cthis)和di(即Cpass),以及本位结果Sum ⑵进位链(Cmaker) 四位一组并行进位链,假设与或非门的级延迟时间为1.5ty,与非门的延迟时间为1ty,在di和ti产生之后,只需2.5ty就可产生所有全部进位

⑶超前进位加法器 将以上二者结合起来即可完成,A和B各位作为各个AddBlock的输入,低一位的进位Ci-1作为本位AddBlock的C-1的输入。各个AddBlock输出的C_this和C_pass作为对应的Cmaker的thisi和passi的输入。

二、实验器材 QuartusII仿真软件,实验箱 三、实验结果 1.串行进位加法器结果 2.超前进位加法器结果

四、实验结果分析 1.实验仿真结果显示串行加法器比超前进位加法器快,部分原因应该是电路结构优化 不到位。另外由于计算的位数比较少,超前进位加法链结构较复杂,所以优势没体现出来,反倒运作的更慢一点。当位数增加的时候,超前进位加法器会比串行的更快。 2.波形稳定之前出现上下波动,应该与“竞争冒险”出现的情况类似,门的延迟和路径 的不同导致了信号变化时到达的时间有先有后,因此在最终结果形成前出现了脉冲尖峰和低谷;另外也可能部分原因由于电路结构优化的不到位所致

一位全加器电路版图设计

目录 1 绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2一位全加器电路原理图编辑 (2) 2.1 一位全加器电路结构 (2) 2.2 一位全加器电路仿真分析波形 (3) 2.3 一位全加器电路的版图绘制 (4) 2.4一位全加器版图电路仿真并分析波形 (4) 2.5 LVS检查匹配 (6) 总结 (7) 参考文献 (8) 附录一:电路原理图网表 (9) 附录二:版图网表 (11)

1 绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。早期的集成电路版图编辑器L-Edit在国内已具有很高的知名度。Tanner EDA Tools 也是在L-Edit的基础上建立起来的。整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分,即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。Tanner软件包括S-Edit,T-Spice, L-Edit与LVS[1]。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑一位全加器电路原理图 2.用tanner软件中的TSpice对一位全加器的电路进行仿真并分析波形 3.用tanner软件中的版图编辑器L-Edit进行一位全加器电路的版图绘制,并进行DRC验证 4.用tanner软件中的TSpice对一位全加器的版图进行仿真并分析波形 5.用tanner软件的layout-Edit中的lvs功能对一位全加器进行LVS检验观察原理图与版图的匹配程度

半加器全加器的工作原理和设计方法实验报告样本

一、实验目 1、学习和掌握半加器全加器工作原理和设计办法。 2、熟悉EDA工具Quartus II使用,可以纯熟运用Vrilog HDL语言在 Quartus II下进行工程开发、调试和仿真。 3、掌握组合逻辑电路在Quartus Ⅱ中图形输入办法及文本输入办法, 掌握层次化设计办法。 4、掌握半加器、全加器采用不同描述办法。 二、实验内容 1、完毕半加器全加器设计,涉及原理图输入,编译、综合、适配、仿真等。并将半加器电路设 置成一种硬件符号入库 2、建立更高层次原理图设计,运用1位半加器构成1位全加器,并完毕编译、综合、适配、仿真 并硬件测试 3、采用图形输入法设计1位加法器分别采用图形输入和文本输入办法,设计全加器 4、实验报告:详细论述1位全加法器设计流程,给出各层次原理图及其相应仿真波形图,给出加 法器上时序分析状况,最后给出硬件测试流程和成果。 三、实验环节 1、建立一种Project。 2、编辑一种VHDL程序,规定用VHDL构造描述办法设计一种半加器 3、对该VHDL程序进行编译,修改错误。 4、建立一种波形文献。(依照真值表) 5、对该VHDL程序进行功能仿真和时序仿真 四、实验现象

任务1:半加器真值表描述办法 代码如下: 半加器是只考虑两个加数自身,而不考虑来自低位进位逻辑电路 S=A B+A B CO=AB 代码如下: LIBRARY IEEE ; --行为描述半加器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(a,b:IN STD_LOGIC; so,co:OUT STD_LOGIC); END h_adder ; Architecture FH1 OF h_adder IS Signal abc:STD_LOGIC_vector(1 downto 0); Begin abc<=a&b ; --并 Process(abc) --进程 begin case abc is 逻辑图 半加器真值表 A i B i S i C i 0 0 0 1 1 0 1 1 0 0 1 0 1 0 0 1

加法器实验报告

加法器实验报告 篇一:加法器实验报告 实验 __一__ 【实验名称】 1位加法器 【目的与要求】 1. 掌握1位全加器的设计 2. 学会1位加法器的扩展 【实验内容】 1. 设计1位全加器 2. 将1位全加器扩展为4位全加器 3. 使4位的全加器能做加减法运算 【操作步骤】 1. 1位全加器的设计 (1)写出1位全加器的真值表 (2)根据真值表写出表达式并化简 (3)画出逻辑电路 (4)用quartusII进行功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5)如果电路设计正确,将该电路进行封装以用于下一个环节 2. 将1位全加器扩展为4位全加器 (1)用1位全加器扩展为4位的全加器,画出电路图

(2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两 个数之和必须在4位补码的数的范围内,这两个数包括符号在内共4位),用quartusII进行功能仿真并对仿真结果进行截图。 3. 将4位的全加器改进为可进行4位加法和减法的运算器 (1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加 法运算而且还能进行减法运算。画出该电路 (2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个 数之和必须在4位补码的数的范围内),用quartusII进行功能仿真并对仿真结果进行截图。 【附录】 篇二:加法器的基本原理实验报告 一、实验目的 1、了解加法器的基本原理。掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。 2、学习和掌握半加器、全加器的工作和设计原理 3、熟悉EDA工具Quartus II和Modelsim的使用,能够熟练运用Vrilog HDL语言在Quartus II下进行工程开发、调试和仿真。

全加器设计

学院:计算机学院 专业:信息与计算科学 姓名:方荣华 学号:0908060223 班级:0902 全加器 一位全加器 全加器是能够计算低位进位的二进制加法电路 一位全加器(FA)的逻辑表达式为: S=A⊕B⊕Cin Co=AB+BCin+ACin 其中A,B为要相加的数,Cin为进位输入;S为和,Co是进位输出; 如果要实现多位加法可以进行级联,就是串起来使用;比如32位+32位,就需要32个全加器;这种级联就是串行结构速度慢,如果要并 行快速相加可以用超前进位加法, 超前进位加法前查阅相关资料; 如果将全加器的输入置换成A和B的组合函数Xi和Y(S0 (3) 制),然后再将X,Y和进位数通过全加器进行全加,就是ALU的逻辑结构 结构。 即 X=f(A,B) Y=f(A,B) 不同的控制参数可以得到不同的组合函数,因而能够实现多种算术 运算和逻辑运算。 半加器、全加器、数据选择器及数据分配器 1.验证半加器、全加器、数据选择器、数据分配器的逻辑功能。 2.学习半加器、全加器、数据选择器的使用。 3.用与非门、非门设计半加器、全加器。 4.掌握数据选择器、数据分配器扩展方法。 1.半加器和全加器 根据组合电路设计方法,列出半加器的真值表,见表7。逻辑表达式为: S =AB + AB= A⊕B C = AB 半加器的逻辑电路图如图17所示。 用两个半加器可组成全加器,原理图如图18所示。 在实验过程中,我们可以选异或门74LS86及与门74LS08来实现半加器的逻辑功能;也可用全与非门如74LS00、反相器74LS04组成半加器。这里全加器不用门电路构成,而选用集成的双全加器74LS183。其管脚排列

半加器和全加器的设计

实验一.半加器,全加器的设计1,半加器的设计, 方法一 library ieee ; use ieee.std_logic_1164.all; entity h_adder1 is port(a,b :in std_logic; c,s :out std_logic); end entity h_adder1; architecture one of h_adder1 is begin s<=a xor b;c<=a and b; end architecture one; 运行结果: 方法二: 运行结果:

2,全加器的设计 方法一: library ieee; use ieee.std_logic_1164.all; entity f_adder1 is port(a,b,cin :in std_logic; sum,cout :out std_logic); end entity f_adder1; architecture arch of f_adder1 is component h_adder1 port( a,b :in std_logic; s,c :out std_logic); end component; component or23 port (a,b :in std_logic; c: out std_logic); end component; signal x:std_logic_vector(0 to 2); begin u1: h_adder1 port map(a,b,x(1),x(0)); u2: h_adder1 port map(x(1),cin,sum,x(2)); u3: or23 port map(a=>x(0),b=>x(2),c=>cout); end arch; 运行结果: 方法二:

微机原理加法器课程设计1

中文摘要和关键词 微机原理课程设计——8255,加法器设计2 本实验是对加法器的输入、运算、输出而进行的编程,利用了8255芯片,通过8255的A,B端口输入两个八位二进制数,经CPU运算后,由C端口输出. 通过编写相应程序用8086/8088的“OUT”指令可将8255的控制字送入其控制字寄存器所对应的地址,以达到将控制字送入8255的目的,以此来控制8255的工作方式以及A、B、C三个端口的输入,输出状态,格式化8255。本实验8255工作在方式0,即基本输入输出状态,A、B端口是输入状态,C端口是输入状态。再用相同方法可将8255的A、B两个端口的内容送入A、B两个端口。 通过A,B端口输入的两个二进制数经编程运算,然后在C口输出,通过循环语句实现两个二进制数求和的连续运算、输出. 关键字: 循环、工作方式、编程、运算、格式化

目录 课程设计任务书 1设计任务描述 1.1 设计目的 1.2 设计的要求 1.3对设计说明书撰写内容、格式、字数的要求 1.4设计完成后应提交成果的种类、数量、质量等方面的要求 1.5时间进度安排 2微机原理课程设计成绩评定表 3 设计思路 (3) 4 设计原理流程图.............………..….……..….………………….…..….…...…4~5 4.1主程序 4.2键盘扫描子程序 5 实际硬件接线图 (6) 6 源程序清单及注释…………..………..….….……………………….…………7~9 7主要元器件介绍………………………………………………………………10~12 7.1键盘 7.1.1键盘的工作原理 7.1.2键盘输入信息的过程 7.1.3键盘扫描(识别键)的过程 7.2 可编程并行接口8255A 7.2.1 8255简介 7.2.2 8255的控制字 参考文献 (13) 设计总结 (14)

全加器的设计

实验课程名称:EDA技术与应用 实验项目名称4位全加器实验实验成绩 实验者专业班级组别 同组者 / 实验日期 一、实验目的 1、加深理解全加器的工作原理及电路组成,加深对EDA技术的掌握。 2、熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个四位全加器的设计把握原理图输入方式设计的详细流程。 二、实验内容 用原理图输入法设计4位全加器。 三、实验仪器 Quartus II软件 四、实验原理 一个4位全加器可以由4个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 1、半加器描述 根据半加器真值表可以画出半加器的电路图。 a b so Co 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 表1 半加器h_adder真值表 图1 半加器h_adder电路图 2、一位全加器描述 一位全加器可以由两个半加器和一个或门连接而成,因而可以根据半加器的电路原理图或真值表写出1位全加器的VHDL描述,1位全加器电路图如图所示:

图2 一位全加器电路图 3、4位全加器设计描述 4位全加器可以看做四个1位全加器级联而成,首先采用基本逻辑门设计一位全加器,而后通过多个1位全加器级联实现4位全加器。 其中,其中cin 表示输入进位位,cout 表示输出进位位,输入A 和B 分别表示加数和被加数。S 为输出和,其功能可用布尔代数式表示为: S A B Ci =++ i i i i o ABC ABC ABC ABC C +++= 首先根据一位全加器的布尔代数式应用基本逻辑门设计一位全加器,而后仿真验证一位全加器设计,准确无误后生成元件,供4位全加器设计用。将4个1位全加器级联构成四位全加器。 五、实验步骤 1、为本项工程设计建立文件夹 :文件夹取名为adder ,路径为d:\adder 。 2、建立原理图文件工程和仿真 原理图编辑输入流程如下: 1) 打开原理图编辑窗。打开Quartus Ⅱ,选菜单File →new ,选择原理图文件编 辑输入项Block Diagram/Schematic File ,按OK 键。 2) 建立一个初始化原理图。在编辑窗口点击右键,在弹出菜单中选择输入元件项 Insert →Symbol,将元件调入原理图编辑窗口中 3) 原理图文件存盘。选择菜单File →Save As,将此原理图存于刚才建立的目录 d:\adder 中,取名为h_adder.bdf 。 4) 建立原理图文件为顶层设计工程。然后将此文件h_adder.bdf 设定为工程。 5) 绘制半加器原理图。将元件放入原理图编辑窗口,按图1接好电路。

组合逻辑电路设计之全加器半加器

班级姓名学号 实验二组合电路设计 一、实验目的 (1)验证组合逻辑电路的功能 (2)掌握组合逻辑电路的分析方法 (3)掌握用SSI小规模集成器件设计组合逻辑电路的方法 (4)了解组合逻辑电路集中竞争冒险的分析和消除方法 二、实验设备 数字电路实验箱,数字万用表,74LS00, 74LS86 三、实验原理 1 ?组合逻辑概念 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路的过去状态无关。因此,组合电路的 特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合电路的输入信号和输出信号往往不只一个,其功能描述方法通常有函数表达式、真值表,卡诺图和逻辑图等几种。 实验中用到的74LS00和74LS86的引脚图如图所示。 00 四2输入与非门 4B 4A 4Y 3B 3A 3Y 1A 1B 1Y 2A 2B 2Y GND 2?组合电路的分析方法。 组合逻辑电路分析的任务是:对给定的电路求其逻辑功能,即求出该电路的输出与输入之间的关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。分析一般分为

(1)由逻辑图写出输出端的逻辑表达式,简历输入和输出之间的关系。 (2)列出真值表。 (3)根据对真值表的分析,确定电路功能。 3?组合逻辑电路的设计方法。 组合逻辑电路设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 一般设计的逻辑电路的过程如图 (1)通过对给定问题的分心,获得真值表。在分析中要特别注意实际问题如何抽象为几个输入变量和几个 输出变量直接的逻辑关系问题,其输出变量之间是否存在约束关系,从而过得真值表或简化真值表。 (2)通过卡诺图化简或逻辑代数化简得出最简与或表达式,必要时进行逻辑式的变更,最后画出逻辑图。 (3)根据最简逻辑表达式得到逻辑电路图。 四?实验内容。 1?分析,测试半加器的逻辑功能。 (1 )用74LS00组成半加器电路如图所示。写出逻辑表达式,验证逻辑关系。 (2 )用异或门74LS86和74LS00组成半加器,自己画出电路,将测试结果填入自拟表格中, 验证逻辑关系。 所以的卡诺图为:

八位二进制加法器课程设计

长安大学电子技术课程设计 课题名称______________ 班级______________ 姓名______________ 指导教师 日期______________

前言 8位二进制加法器,它的功能主要是实现两个8位二进制数的相加,其结果的范围应该在00000000到111111110之间,即000到510之间。加法器在实际应用中占据着十分重大的地位,从我们呱呱坠地起,到小学,到初中,到高中,到大学,到工作,等等。我们能离开加法吗,不能!加法可以说是一切运算的基础,因此8位二进制加法器的设计是很有必要的。 那么我们如何设计一个8位二进制加法器呢?在实际应用中,我们通常输入的是十进制数,一个八位二进制数所对应的最大的十进制数是255,于是输入两个范围在000到255之间的数,首先通过二-十进制编码器将输入的三位十进制数的个位、十位、百位分别转换为8421BCD码,得到两个十二位字码,再通过加法器将它们相加,逢10进1,得到一个新的十二位字码,再用7447数字显示译码器将这个十二位字码还原到原来的三位十进制数。最后输出的就是一个三位十进制数,其范围在000到510之间。通过上述方法我们实现了八位二进制数的相加,从而达到了题目的要求。 为实现上述目的,我们需要查阅相关资料。通过查阅,理解以及加以运用,我们认识到了收集资料的不易性,但同时也得到了不少收获,可以说是有苦有甜。同时,虽然我们基本设计出了这个八位二进制加法器,但是不必可避免地会产生一些问题,比如说在连线上可能有更简便的途径,在元件的选用上可能还有其它更简便的方法,在控制上可能还不够精简,等等。我们希望在以后的实践中能找出更好的方法,也希望能吸取这次设计中的不足,逐渐改善。另外,在电子设计的过程中,与同组同学之间的合作配和是十分重要的。我在此次设计中也充分认识到这一点的重要性,我相信这次的电子设计能够为我们将来的工作奠定一定的基础。

用门电路设计一位的全加器

实验二组合逻辑设计 一、实验目的 1、掌握组合电路设计的具体步骤和方法; 2、巩固门电路的运用和电路搭建能力; 3、掌握功能表的建立与运用; 4、为体验MSI(中规模集成电路)打基础。 二、实验使用的器件和设备 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 TDS-4数字系统综合实验平台1台 三、实验内容 1.测试四2输入异或门74LS86 一个异或门的输入和输出之间的逻辑关系。 2.测试四2输人与非门74LS00一个与非门的输入和输出之间的逻辑关系。 3.等价变换Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4.画出变换后的原理图和接线图。 四、实验过程 1、选择实验题目,分析逻辑功能 用门电路设计一位的全加器 一位全加器:在进行两个数的加法运算时不仅要考虑被加数和加数而且要考虑前一位(低位)向本位的进位的一种逻辑器件。 2 3、根据真值表写出逻辑函数表达式; Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4、利用卡诺图法或布尔代数法对逻辑函数表达式进行化简;

不需化简 Si=Ai ○十Bi ○十Ci-1 Ci=AiBi +(Ai ○十Bi )Ci-1 5、将化简的逻辑表达式等价变换,统计出实验所需芯片; Si=Ai ○十Bi ○十Ci-1 所需芯片: 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 6、根据各芯片的引脚图,测试所有需用芯片的功能,画出各芯片的功能表; 1A 11B 231Y 42A VCC 4B 4A 4Y 141312112B 52Y 67 GND 3B 3A 3Y 1098 74LS86 VCC A B 1A 11B 2 31Y 42A VCC 4B 4A 4Y 141312112B 52Y 67 GND 3B 3A 3Y 1098 74LS00 VCC A B 74LS86接线图 74LS00接线图 74LS 86芯片测试结果 74LS00 芯片测试结果 7、根据化简后的逻辑函数表达式,画出实验原理图; 8、根据芯片的引脚图,画出实际连线图; A B 理论值 测量值 0 0 0 0 0 1 1 1 1 0 1 1 1 1 A B 理论值 测量值 0 0 1 1 0 1 1 1 1 0 1 1 1 1

加法器课设

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点补码加法器的设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:14010102 学号:2011040101068 姓名:李丰 指导教师:周大海 完成日期:2014年01月10日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (4) 2.1总体方案的设计与实现 (4) 2.1.1总体方案的逻辑图 (4) 2.2功能模块的设计与实现 (5) 2.2.1求补模块的设计与实现 (5) 2.2.2加法器模块的设计与实现 (7) 第3章编程下载与硬件测试 (10) 3.1编程下载 (10) 3.2硬件测试及结果分析 (10) 参考文献 (13) 附录(电路原理图) (14)

第1章总体设计方案 1.1 设计原理 本次课程设计的题目为定点补码加法器的设计,使用Xilinx Foundation F3.1可编程器件开发工具软件,以及伟福COP2000试验箱实现目的设计。具体要求为必须用基本逻辑门实现,两相加数为7位,并含有一位符号位,采用原码输入,实现编程下载和硬件调试。 本实验输入两个原码,但是因为有符号位,不利于运算,故必须先将其转化为补码形式,再进行加法运算,然后将得到的结果再求补,从而得到正确结果。原理式为:[x]补+[y]补=[x+y]补。 1.2 设计思路 定点补码加法器的设计主要包含如下3个部分: ①原码求补;②数据相加;③结果求补并输出。 在各个部分中分别设计实现相应功能的器件,包括逻辑门电路、四位加法器等。在连接具体电路时配合相应脉冲和门电路以达到预期效果。加法器的底层、顶层的设计都采用原理图设计输入方式,经编译、调试后形成*.bit文件并下载到XCV200可编程逻辑芯片中,经硬件测试验证设计的正确性。 1.3设计环境 (1)硬件环境 伟福COP2000型计算机组成原理试验仪 伟福COP2000型计算机组成原理实验系统由实验平台,开关,软件三大部分构成,该系统提供微程序控制器和组合逻辑控制器两种控制器方式,系统还支持手动方式、联机方式、模拟方式三种工作方式,具备完善的寻址方式、指令系统和强大的模拟调试功能。 (2)EDA环境 Xilinx foundation f3.1设计软件

半加器和全加器

《电子技术基础》实验报告 年级专业姓名学号 实验一数字钟实验 一、实验目的 1、初步了解数字电路的基本组成。 2、初步认识什么是数字信号、逻辑电平和逻辑关系,以及某些逻辑元件的基本逻辑功能。 3、初步接触数字电路的调试过程,以达到对数字电路有一个大体的感性认识。 二、实验任务 1、用74LS161型中规模计数器连接成一个十进制和一个六进制计数器。并 连接成一个六十进制的秒、分计数器。再用两片74LS161连接成一个二十 四进制计数器。与译码器、显示电路连接后将六十进制和二十四进制器连接 起来,完成能显示分、时的数字钟。 2、掌握译码器和计数器的大致工作原理 3、实验记录数码管的亮暗关系表,计数器、译码器输出与脉冲关系;并总结实验过程,绘 制好实验图表,体会译码器和计数器的大致工作原理,认真作好实验报告。 三、实验过程过程与结果 1、绘制数字钟电路:

实验二组合逻辑实验 实验目的: 1、掌握组合逻辑电路的功能测试方法及设计方法 2、熟悉几种典型组合逻辑电路的工作原理。 实验任务: 1、用与非门和非门(74LS00和74LS05型)设计一个半加器电路。只考虑被加数和加数的相应位相加,而不考虑相邻低位的进位,这种二进制数相加称为半加。因此,电路输入为被加数A和B加数,而输出为半加和S和向高位的进位C。要求设计出一个半加器单元。 2、用与非门和与-或-非门(74LS00和74LS54型)设计一个全加器电路。全加器与半加器相比较,输入信号多了一个低位的进位Ci-1,输出仍为全加和和向高位进位。设计一个全加器单元。 3、实验结束写出实验报告,必须包括各实验的电路图,测试后的真值表及有关数据。 三、实验过程过程与结果 1.绘制半加器电路和全加器电路: 半加器电路 全加器电路

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

一位全加器的设计

课程设计任务书 学生:袁海专业班级:电子1303班 指导教师:封小钰工作单位:信息工程学院 题目: 一位全加器的设计 初始条件: 计算机、ORCAD软件,L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周 2、技术要求: (1)学习ORCAD软件,L-EDIT软件。 (2)设计一个一位全加器电路。 (3)利用ORCAD软件对该电路进行系统设计、电路设计,利用L-EDIT软件进行版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 2016.12.30布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2016.12.31-2017.1.2学习ORCAD软件和L-EDIT软件,查阅相关资料,复习所设计容的基本理论知识。 2017.1.3-2017.1.4对一位全加器电路进行设计仿真工作,完成课设报告的撰写。 2017.1.5 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要........................................................................ I ABSTRACT ................................................................... II 1绪论. (1) 1.1集成电路发展现状 (1) 1.2集成电路版图工具L-edit简介 (1) 2全加器原理及一位全加器原理图设计 (3) 2.1一位全加器原理简介 (3) 2.2实现一位全加器功能的原理图设计 (4) 2.2.1一位全加器原理图 (4) 2.2.2基于ORCAD的一位全加器设计 (4) 2.2.3 一位全加器的电路图仿真 (7) 3一位全加器的版图设计 (9) 3.1确定一位全加器版图结构 (9) 3.2源漏共享缩小版图面积 (10) 3.3 版图所需基础器件绘制编辑 (12) 3.3.1 PMOS、NMOS等基础器件编辑 (12) 3.3.2 两输入与非门与异或门的绘制编辑 (13) 3.3.3源漏共享得到版图 (14) 3.4 绘制最终一位全加器版图 (15) 4心得体会 (18) 5参考文献 (19)

EDA课程设计 十进制加法器

燕山大学 课程设计说明书题目:十进制加法计数器 学院(系):电气工程学院 年级专业: 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:电子实验中心 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。

目录 第1章摘要 (4) 第2章十进制加法器设计说明 (5) 2.1 设计思路 (5) 2.2 流程图 (5) 2.3 模块介绍 (6) 2.4 真值表 (6) 第3章原理图分析 (11) 3.1 整体原理图 (11) 3.2 输入转换部分设计 (12) 3.3 蜂鸣器部分 (12) 3.4 加法器部分 (13) 3.5 B-BCD(二进制转换为BCD码) (13) 3.6 动态数码管部分 (14) 第4章波形仿真图及结果分析 (16) 第5章管脚锁定及硬件连线 (21) 第6章总结 (22) 参考文献 (23) 燕山大学评审意见表 (24)

摘要 十进制加法器可由BCD码(二-十进制码)来设计,它可以在二进制加法器的基础上加上适当的“校正”逻辑来实现,该校正逻辑可将二进制的“和”改变成所要求的十进制格式。n位BCD码行波式进位加法器由n级组成,每一级将一对4位的BCD数字相加,并通过一位进位线与其相邻级连接。在十进制运算时,当相加二数之和大于9时,便产生进位。用BCD码完成十进制数运算时,当和数大于9时,必须对和数进行加6修正,由加法器和比较器完成功能的实现。加法器的加数和被加数若大9则蜂鸣器警报5秒,数码管显示为0,由比较器和计数器控制。动态数码管由计数器、数据选择器、译码器完成显示功能。 关键词:十进制加法器、动态数码管显示、蜂鸣器警报

相关文档
最新文档