通信电子线路教学大纲

通信电子线路教学大纲
通信电子线路教学大纲

《通信电子线路》教学大纲

一、课程概述

通信电子线路是通信与信息系统专业的一门重要的专业基础课。这是一门工程性和实践性都很强的课程。本课程的任务是讲授现代通信系统中信道机所涉及的各种高频电子线路的功能、工作原理、性能特点和分析方法。

该课程的先修课程有:电路基础,低频电子线路

学习本课程的目的是使学生掌握高频电子线路的基本原理、方法和基本技术,为以后学习更高级的信息与通信课程,以及今后从事通信方面的实际工作打下坚实的基础。

二、课程目标

1.知道《高频电子线路》这门学科的性质、地位和独立价值。知道这门学科的研究范围、分析方法、学科进展和未来方向。

2.理解这门学科的主要概念、基本原理和技术,尤其是各种典型的常用的高频电路。

3.初步学会进行一些具体的高频电路分析和电路设计方法,能够分析基本的、常用的高频电路并能进行简单的电路设计。

4.养成对工程应用的关注兴趣,并能够把所学的原理应用到具体的工程实践中分析、解决问题。

三、教学内容和教学要求

通过教学要求学生掌握高频电子线路的基本概念和基本原理,并通过实验加深理解,使学生能结合实际应用所学知识,培养分析问题和解决问题的能力。

这门课程的知识与技能要求分为知道、理解、掌握、学会四个层次。这四个层次的一般涵义表述如下:

知道——是指对这门课程和教学内容的认知。

理解——是指对这门课程涉及到的概念、原理与技术能说明和解释。

掌握——是指能运用已理解的概念和原理。

学会——是指能运用概念和原理进行实验分析和设计。

教学内容和要求表中的“√”号表示教学知识和技能的教学要求层次。

本标准中打“*”号的内容可作为自学,教师可根据实际情况确定要求或不布置要求。

教学内容及教学要求表

四、课程实施

(一)课时安排与教学建议

高频电路是通信网络工程专业类必修课和主干课。一般情况下每周安排4课时,共72课时。其中讲授60课时、实验12课时。理论课的具体课时安排如下:

(二)教学组织形式与教学方法要求

1.教学班是主要的教学组织,班级授课制是目前教学的主要组织形式。有条件的话,也可以采用分组教学。或者几种组织方式灵活组合,尽量减轻学生的生理和心理疲劳。加强实验,实验要分组进行,分组人数不宜过大,实验前多做准备工作。

2.注意教学方法的灵活性,组织学生讨论、问题教学等,有时间可以多进行一些习题讲解。或者是组织学生讨论,培养学生发现问题、分析问题、解决问题的能力和探究意识。

3.充分发挥学生的主动性,努力提高学生学习的积极性,加强学生把理论用于实际的培训,培养学生自主学习、研究科学技术的能力。注意对前置课程的复习与总结,以便前后衔接,巩固与提高。考虑学生的具体情况,可在绪论课后适当复习一些前置课程的基本知识。

4.评价方法要以实现课程标准规定的教学目标为依据,鼓励学生动手实践。

五、教材编写与选用

教材要在课程标准的统一要求下,实行多样化。可以选用普通高校教材,也可以选用公认的水平较高的教材,如教育部面向21世纪教材,建议使用西安电子科技大学出版社出版,曾兴雯、刘乃安、陈健编著的《高频电路原理与分析》,其他参考教材包括哈尔滨工业大学出版社出版,张义芳、冯健华编著的《高频电子线路》,辅导教材可选用西安电子科技大学出版的,曾兴雯等编著的《高频电子线路辅导》。

六、考核与报告

本课程采用平时考核,综合评定学生成绩。每个实验单独计分,综合操作情况和实验报

告给分。操作情况占50%,实验报告占50%。实验成绩与本课程作业、考勤等共占总成绩的30%。

七、实验项目设置与要求

八、说明

1、《高频电路》的先修课程是《电路基础》和《低频电路》,学生通过本课程的理论学习后,要进一步理解和巩固电路的理论知识,这样才能更好地理解高频电路的基本原理。

2、《高频电路》提供12学时的基础实验内容,通信工程专业的班级要结合高频教材完成上述全部基础实验内容。后两个实验要求较高,可选一个作为课程设计。

3、本课程的实验手段主要是利用现成的高频电路试验箱,这样可以使学习集中精力观察实验现象,验证所学理论知识。

4、在《高频》实验教学中,应注意引导学生除完成正常实验内容外,大胆探索,改变实验参数,会运用所学知识解释实验发生的变化,激发学生学习兴趣和热情。

5、在实验室全面开放的条件下,提出供学生选做的课题,加强学生创新能力的培养,因材施教,注意学生的个性。

附《高频》实验教学大纲

1、课程简介及基本要求

本课程以教学结合实践为主,根据课程的性质、任务、要求以及专业特点和学生的水平,

将课程内容分两个层次:基础性实验、综合设计性实验。每个试验只给出实验任务,由学生自行设计电路,拟订实验方法和步骤。实验主要利用试验箱,采用实验验证的手段,观察试验现象,记录实验数据,分析实验结果。通过实验,学生应达到下列要求:

1、通过实验观察和分析进一步巩固和加深对通信原理的基本理解。

2、了解实验原理,熟悉实验设备并能正确使用。

3、能独立撰写实验报告,准确分析实验结果。

2、课程实验目的要求

《高频电子线路实验》是继《低频电子线路实验》课程之后开设的基础实验课程,是理论教学的深化和补充,具有较强的实践性,是一门重要的技术基础课,可作为通信类、电子类专业学生的必修课。

通过该课程的学习,使学生巩固和加深高频电路的理论知识,并通过对基础实验的观察和验证操作加强学生独立观察和分析问题、解决问题的能力。同时培养学生事实求是、严肃认真的科学作风和良好的实验习惯,为今后工作打下良好的基础。

3、适用专业

电子、通信工程。

4、主要仪器设备及实验材料

双踪示波器、高频电路试验箱(内含用于高频信号发生器、频率计等)、稳压电源、毫伏表、计算机。

5、实验方式与基本要求

1、本课程以教学为主,结合教学进行实验,为非独立设课,开课时,指导教师需要向学生讲清课程的性质、任务、要求、课程安排和进度、平时考核内容、期末考试办法、实验守则及实验室安全制度等。

2、该课程以观察、记录和分析实验现象、实验结果为主,教材中给出实验题目,实验前学生必须进行预习,并熟悉实验设备,方可进入实验室进行实验。

3、实验2人或3人1组,在规定的时间内,由分组共同完成,出现问题,教师要引导学生独立分析、解决,不得包办代替。

4、采用实验箱进行实验,每项实验结果,需经教师认可后,方可拆除线路。

5、指导教师要认真上好每一堂课,实验前清点学生人数,实验中按要求做好学生实验

情况及结果记录,实验后认真填写实验记录。

6、学习评价与考核

1.这门课程的评价依据是本课程标准规定的课程目标、教学内容和要求。

2.考试时间:120分钟。

3.考试方式、分制与分数解释

采用闭卷、笔试的方式,以百分制评分,60分为及格,满分为100分。期中期末笔试成绩占总成绩的70%,实验、作业和平时考查占30%。 4.题型比例

选择题12%;填空题20%;简答题20%;计算题30%;综合分析题18%。 5.样题与目标定位示例

A .单选题:(着重考查学生对知识的识别程度)

例:二极管峰值包络检波器,原电路正常工作,若负载电阻R 加倍则( )。

a 惰性失真

b 底部切削失真

c 惰性和底部切削失真 B .填空题:(着重考查学生对知识的理解程度)

例:高频功率放大器用LC 并联谐振回路做负载,其主要作用是________。 C .判断说明题:(着重考查学生对知识的理解程度) 例:高频功率放大器可作为倍频功率放大器。( ) D .简答题:(着重考查学生对知识的理解与掌握程度) 例:无线电通信为何要采用高频信号?

E .计算题:(着重考查学生对知识的掌握与学会程度)

例:一个5kHZ 的基音石英晶体谐振器,0C =6pF ,q C =0.024pF ,q r =15Ω,求此谐振器的Q 值和串并联谐振频率。

F .综合分析题:(着重考查学生对知识的掌握与学会程度)

例:已知高频功放工作在过压状态,现欲将它调整到临界状态,可以改变哪些外界因素来实现?变化方向如何?在此过程中,集电极输出功率如何变化?

制定该课程标准小组成员: 审核者:

通信电子线路课程设计

通信电子线路课程设计中波电台发射系统与接收系统设计 学院:******* 专业:******* 姓名:**** 学号:******

一.引言 这学期,我们学习了《通信电子线路》这门课,让我对无线电通信方面的知识有了一定的认识与了解。通过这次的课程设计,可以来检验和考察自己理论知识的掌握情况,同时,在本课设结合Multisim软件来对中波电台发射机与接收机电路的设计与调试方法进行研究。既帮助我将理论变成实践,也使自己加深了对理论知识的理解,提高自己的设计能力 二.发射机与接收机原理及原理框图 1.发射机原理及原理框图 发射机的主要任务是完成有用的低频信号对高频载波的调制,将其变为在某一中心频率上具有一定带宽、适合通过天线发射的电磁波。 通常,发射机包括三个部分:高频部分,低频部分,和电源部分。 高频部分一般包括主振荡器、缓冲放大、倍频器、中间放大、功放推动级与末级功放。主振器的作用是产生频率稳定的载波。为了提高频率稳定性,主振级往往采用石英晶体振荡器,并在它后面加上缓冲级,以削弱后级对主振器的影响。低频部分包括话筒、低频电压放大级、低频功率放大级与末级低频功率放大级。低频信号通过逐渐放大,在末级功放处获得所需的功率电平,以便对高频末级功率放大器进行调制。因此,末级低频功率放大级也叫调制器。发射机系统原理框图如下图: 设计指标: 设计目的是要求掌握最基本的小功率调幅发射系统的设计与安装调试。 技术指标:载波频率535-1605KHz,载波频率稳定度不低于10-3,输出负载51Ω,总的输出功率50mW,调幅指数30%~80%。调制频率500Hz~10kHz。 本设计可提供的器件如下,参数请查询芯片数据手册。所提供的芯片仅供参考,可以选择其他替代芯片。 高频小功率晶体管3DG6 高频小功率晶体管3DG12 集成模拟乘法器XCC,MC1496 高频磁环NXO-100 运算放大器μA74l 集成振荡电路E16483 原理及原理框图 接收机的主要任务是从已调制AM波中解调出原始有用信号,主要由输

通信电子线路实验报告4

大连理工大学 本科实验报告 课程名称:通信电子线路实验 学院:电子信息与电气工程学部专业:电子信息工程 班级:电子0904 学号: 200901201 学生姓名:朱娅 2011年11月20日

实验四、调幅系统实验及模拟通话系统 一、实验目的 1.掌握调幅发射机、接收机的整机结构和组成原理,建立振幅调制与 解调的系统概念。 2.掌握系统联调的方法,培养解决实际问题的能力。 3.使用调幅实验系统进行模拟语音通话实验。 二、实验内容 1.实验内容及步骤,说明每一步骤线路的连接和波形 (一)调幅发射机组成与调试 (1)通过拨码开关S2 使高频振荡器成为晶体振荡器,产生稳定的等幅高频振荡,作为载波信号。拨码开关S3 全部开路,将拨码开关S4 中“3”置于“ON”。用示波器观察高频振荡器后一级的射随器缓冲输出,调整电位器VR5,使输出幅度为0.3V左右。将其加到由MC1496 构成的调幅器的载波输入端。 波形:此时示波器上,波形为一正弦波,f=10.000MHz,Vpp=0.3V。 (2)改变跳线,将低频调制信号(板上的正弦波低频信号发生器)接至模拟乘法器调幅电路的调制信号输入端,用示波器观察J19 波形,调VR9,使低频振荡器输出正弦信号的峰-峰值Vp-p 为0.1~0.2V. 波形:此时示波器上,波形为一正弦波,f=1.6kHz,Vpp=0.2V。 (3)观察调幅器输出,应为普通调幅波。可调整VR8、VR9 和VR11,

使输出的波形为普通的调幅波(含有载波,m 约为30%)。 (4)将普通的调幅波连接到前置放大器(末前级之前的高频信号缓冲器)输入端,观察到放大后的调幅波。 波形:前置放大后的一调幅波,包络形状与调制信号相似,频率特性为载波信号频率。f?=1.6kHz,Vpp=0.8V,m≈30%。 (5)调整前置放大器的增益,使其输出幅度1Vp-p 左右的不失真调幅波,并送入下一级高频功率放大电路中。 (6)高频功率放大器部分由两级组成,第一级是甲类功放作为激励级,第二级是丙类功放。给末级丙类功放加上+12V 电源,调节VR4 使J8(JF.OUT)输出6Vp-p左右不失真的放大信号,在丙类功放的输出端,可观察到经放大后的调幅波,改变电位器VR6 可改变丙类放大器的增益,调节CT2 可以看到LC 负载回路调谐时对输出波形的影响。 波形:此时示波器上为放大后的调幅波,f?=1.6kHz,Vpp=8V,m≈30%。 (二)调幅接收机的组成与调试 从GP-4 实验箱的系统电路图可以看出调幅接收机部分采用了二次变频电路,其中频频率分别为:第一中频6.455MHz,第二中频455kHz。由于该二次变频接收机的两个本机振荡器均采用了石英晶体振荡器,其中第一本振频率16.455MHz,第二本振频率6.000MHz,也就是说本振频率不可调。这样实验箱的调幅接收机可以接收的频率就因为第一本振频率不可调而被固定下来,即该机可以接收的已调波的中心频率应该为10.000MHz(第1本振频率-第1中频频率 = 16.455MHz - 6.455MHz =

通信电子线路 学习指南

学习指南 通信电子线路课程是电子信息工程和通信工程专业的必修课,是核心的专业基础课程。本课程的特点是理论和实践性都很强的课程,因此,在学习该课程前应该先复习巩固其先修课程电路理论、信号与系统、模拟电子技术课程中的相关知识。在课程学习中,要特别注意与模拟电子技术课程中分析方法的不同点。例如,在高频小信号放大器一章应注意高频小信号放大器等效电路与低频放大电路等效电路的不同之处,应该考虑分布参数的影响;在谐振功率放大器一章,应该注意它与低频功率放大器的不同之处,很好地掌握折线分析法;在频率变换电路中,应该注意区分线性频率变换和非线性频率变换电路的频谱特性。因为本课程中涉及电路的负载主要是谐振回路,因此首先要很好地掌握阻抗变换电路与选频电路特性的特性及分析方法。 本课程着重掌握通信系统中电路的基本原理,基本电路,基本分析方法及其在现代通信中的典型应用。学生学习本课程后对通信系统应有一个完整的了解,并会进行模拟通信系统中发射机,接收机电路的设计、安装调试。 对本课程中学生难于理解的地方,可以通过实验消化理解理论课程内容。有兴趣的同学可参予课外活动,充分发挥自己的潜能,不断提高自己实践能力。

为了巩固课程知识,学生可选择相关硬件课程设计,进行无线通信发射机和接收机的设计、安装、调试,可有效地提高自己的实际动手能力,加强对本课程的学习兴趣和对知识的掌握深度。 为了帮助同学学好该课程,我们编写了教材和参考资料,该课程已经建立了丰富的网络教学环境,同学们可从华中科技大学主页的精品课程栏目进去可以浏览该课程的网上教学系统。该系统中有网络课程(含网上教材、电子教案、学习指导、思考练习、参考资料、授课录像、复习导航等)以及课堂讲课多媒体课件,还有网上实验教学系统。 教材和参考资料: 1.本课程使用的教材是严国萍、龙占超编写,科学出版社正式出版的国家十一五规划教材“通信电子线路”该教材的特点是:强调系统,从通信系统和整机出发来分析各功能模块的原理、组成、作用,构建了模拟通信和数字调制系统的内容体系;深入浅出,注重基本原理、分析方法和典型应用,按照基础知识、线性电路、非线性电路以及频率变换电路来组织教材内容;易于理解,重点难点配有例题,每章都有主要知识点小结,结合实际无线通信机进行电路和性能指标分析以及参数测量;内容新颖,注意将本课程的基础知识和相关的最新科技发展相融合,将软件无线电中用DSP实现调制解调的思想引入教材。 2.为帮助学生自主学习,课程组还编写出版了辅导书“高频电子线路学习指导与题解”,本书包含了与本课程相关的张肃文等编

通信电子线路实验指导书-修改后

通信电子线路 Communication Electronic Circuit 实验指导书 Experimental Instruction 郭丽萍于少华李厚杰曲昕 大连民族学院 Dalian nationalities university 机电信息工程学院 (College of Electromechanical and Information Engineering) 2010年10月

实验要求 Experimental requirements 1. 每位学生必须按规定完成实验课,因故不能参加实验者,要在上课前向指导教师 请假(必须经有关领导批准)。对所缺实验要在期末考试前规定的时间内补齐,缺实验者不得参加期末考试。 2.每次实验课前,必须作到预习,弄清实验题目、目的、内容、步骤和操作过程以 及需要记录的参数等,认真做好预习报告。在实验前,指导教师要检查预习结果 并对学生进行提问。不写预习报告,又回答不出问题的学生,不允许做实验。 3.每次实验课前,学生必须提前5分钟进入实验室,找好座位,查看所需实验设备 是否齐全,做好实验前的准备工作。 4.做实验前,了解设备的原理和正确使用方法。在没有弄懂仪器设备的使用方法前, 不得贸然使用,否则因使用不当造成仪器设备损坏的,根据大连民族学院《仪器 设备损坏丢失处理暂行办法》的相关规定进行处理。 5.实验过程中实验室内设备不得任意搬动和调换,非本次实验所用仪器设备,未经 指导教师允许不得动用。 6.每位学生在实验过程中,要具有严谨的学习态度和认真、踏实、一丝不苟的科学 作风。坚持每次实验都要亲自动手,不可“坐车”,实验小组内要轮流进行接线、操作和记录等工作,无特殊原因,中途不得退出实验,否则本次实验无效。 7.实验中的接线、改线、拆线都必须在切断电源的情况下进行(包括安全电压),线 路连接完毕再送电。实验中,特别是设备刚投入运行时,要随时注意仪器设备的 运行情况,如发现有超量程、过热、异味、冒烟、火花等,应立即断电,并请指 导老师检查处理。 8.实验过程中,如出现事故,应马上关闭电源,然后向指导教师和实验技术人员如 实反映事故情况,并分析原因和处理事故。如有损坏仪表和设备情况,应马上提 出,按有关规定处理。 9.每次实验结束,指导教师要对实验数据和结果进行检查并签字,在教师确认正确 无误后,学生方可拆线。整理好实验台和周围卫生,填写实验登记簿后方可离开。 10.实验课后,每位学生必须按实验指导书的要求,独立完成实验报告,不得抄袭。i

合工大通信电子线路课程设计报告

通信电子线路课程设计 设计报告 学院:计算机与信息学院 : 学号: 班级:通信工程14-2班 指导老师:正琼

目录 键入章标题(第1 级)1 键入章标题(第2 级) 2 键入章标题(第3 级) 3 键入章标题(第1 级)4 键入章标题(第2 级) 5 键入章标题(第3 级) 6

设计课题一 LC 正弦波振荡器的设计 1. 设计容和主要技术指标要求 ● 设计容:设计一个LC 正弦波振荡器 ● 已知条件: 三极管 负载 ● 主要技术指标要求: ① 谐振频率?0 = 5MHz ② 频率稳定度o c f f ≤510–4/小时 ③ 输出峰峰值 2. 设计方案选择 ● 方案选择 ① 电感三点式振荡器

优点:由于1L和2L之间有互感存在,所以容易起振。其次是频率易调(调C)。 缺点:与电三点式振荡器相比,其输出波形差。这是因为反馈支路为感性支路,对高次谐波呈现高阻抗,波形失真较大。其次是当工作频率较高时,由于1L和2L上的分布电容和晶体管的极间电容均并联于1L与2L两端,这样,反馈系数F随频率变化而变化。 工作频率愈高,分布参数的影响也愈严重,甚至可能使F减小到满足不了起振条件。因此,优先选择的还是电容反馈振荡器。 电容三点式振荡器 优点:高次谐波成分小,输出波形好,其次振荡频率可以做得很高,因而本电路适用于较高的工作频率。

缺点:频率不易调(调L,调节围小),调1C 或2C 来改变震荡频率时,反馈系数也将改变。但只要在L 两端并上一个可变电容器,并令1C 与2C 为固定电容,则在调整频率时,基本上不会影响反馈系数。 克拉波振荡器 优点:频率可调,,其次改变F 不 受影响,与 无关,故比较稳定。 缺点:频率不能太高,波段围不宽,波段覆盖系数一般约为1.2~1.3,波段输出幅度不平稳,实际中常用于固定频率振荡器。 ○ 4 西勒振荡器 优点:振荡频率可以很高,且在波段振幅比较稳定,调谐围比较 4 C

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

武汉科技大学通信电子电路期末试卷+答案教学总结

试题纸A -1 - 课程名称:通信电子线路专业班级:电子信息工程07级 考生学号:考生姓名: 闭卷考试,考试时间120分钟,无需使用计算器 一、单项选择(2' *12=24分) 1、根据高频功率放大器的负载特性,由于RL减小,当高频功率放大器从临界状态向欠压区 变化时。 (A)输出功率和集电极效率均减小(B)输出功率减小,集电极效率增大 (C)输出功率增大,集电极效率减小(D)输出功率和集电极效率均增大 2、作为集电极调幅用的高频功率放大器,其工作状态应选用。 (A)甲类状态(B)临界状态(0 过压状态(D)欠压状态 3、对于三端式振荡器,三极管各电极间接电抗元件X(电容或电感),C、E电极间接电抗 元件X1,B、E电极间接X2,C B电极间接X3,满足振荡的原则是。 (A)X1与X2性质相同,X1、X2与X3性质相反 (B)X1与X3性质相同,X1、X3与X2性质相反 (C)X2与X3性质相同,X2、X3与X1性质相反 (D)X1与X2、X3性质均相同 4、在常用的反馈型LC振荡器中,振荡波形好且最稳定的电路是。 (A)变压器耦合反馈型振荡电路(B)电容三点式振荡电路 (C)电感三点式振荡电路(D)西勒振荡电路 5、为使振荡器输出稳幅正弦信号,环路增益KF(j oo)应为。 (A)KF(j o )= 1 (B)KF(j o )> 1 (C)KF(j o)v 1 (D)KF(j o )= 0 6、单音正弦调制的AM?幅波有个边频,其调制指数ma的取值范围是 (A) 1、(0,1) (B) 1、(-1,1) (C) 2、(0,1) (D) 2、(-1,1) 7、某已调波的数学表达式为u( t) = 2(1 + Sin(2 nX 103t))Sin2 nX 106t,这是一个(A)AM 波(B)FM 波(C)DSB 波(D)SSB 波 8、在各种调制电路中,最节省频带和功率的是。 (A)AM电路(B)DSB电路(C)SSB电路(D)FM电路

通信电子线路课程设计报告——电感三点式正弦波振荡器

课程设计报告 课题名称_____通信电子线路课程设计_ 学院电子信息学院 专业 班级 学号 姓名 指导教师

目录 摘要 ............................................................................................ I 1绪论.. (1) 2正弦波振荡器 (2) 2.1 反馈振荡器产生振荡的原因及其工作原理 (2) 2.2平衡条件 (3) 2.3起振条件 (3) 2.4稳定条件 (4) 3电感三点式振荡器 (5) 3.1三点式振荡器的组成原则 (5) 3.2电感三点式振荡器 (5) 3.3 振荡器设计的模块分析 (6) 4 仿真与制作 (10) 4.1仿真. (10) 4.2分析调试 (12) 5 心得体会...................................13= 参考文献 (14)

摘要 反馈振荡器是一种常用的正弦波振荡器,主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本文介绍了高频电感三点式振荡器电路的原理及设计,电感三点式容易起振,调整频率方便,变电容而不影响反馈系数。 正弦波振荡器在各种电子设备中有着广泛的应用。例如,无线发射机中的载波信号源,接收设备中的本地振荡信号源,各种测量仪器如信号发生器、频率计、fT测试仪中的核心部分以及自动控制环节,都离不开正弦波振荡器。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。 本文将简单介绍一种利用一款名为Multisim 11.0的软件作为电路设计的仿真软件,电容电感以及其他电子器件构成的高频电感三点式正弦波振荡器。电路中采用了晶体三极管作为电路的放大器,电路的额定电源电压为5.0 V,电流为1~3 mA,电路可输出输出频率为8 MHz(该频率具有较大的变化范围)。 关键词:高频、电感、振荡器

通信电子线路Multisim仿真实验报告

通信电子线路实验报告Multisim调制电路仿真

目录 一、综述 .......................... 错误!未定义书签。 二、实验内容 ...................... 错误!未定义书签。 1.常规调幅AM ................... 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 (3)结论: ...................... 错误!未定义书签。 2.双边带调制DSB ................ 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 3.单边带调制SSB ................ 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 4.调频电路FM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 5.调相电路PM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图............ 错误!未定义书签。 三、实验感想 ...................... 错误!未定义书签。

《通信电子线路》实验指导书XXXX版(简)

北方民族大学《通信电子线路》实验指导书 主编 校对 审核 北方民族大学电气信息工程学院 二○一三年九月

目录 实验一小信号谐振放大器的性能分析 (2) 实验二LC正弦波振荡器的综合分析 (8) 实验三振幅调制与解调电路研究与综合测试 (12) 实验四频率调制与解调电路研究与综合测试 (22) 实验五锁相环的工作过程及综合分析 (29)

实验一 小信号谐振放大器的性能分析 (综合性实验) 一、实验目的 1.掌握小信号谐振放大电路的组成和性能特点。 2.熟悉小信号谐振放大器的主要性能指标。 3.学会频响特性的测试。 二、实验仪器与器材 1. 高频电子技术实验箱中小信号谐振放大器实验模块电路(RK-050) 2. 示波器 3. 信号源 4. 扫频仪 三、小信号调谐放大器实验电路 图1-1为小信号调谐放大器实验电路(RK-050)。图中,201P 为信号输入铆孔,当做实验时,高频信号由此铆孔输入。201TP 为输入信号测试点。接收天线用于构成收发系统时接收发方发出的信号。变压器21T 和电容12C 、22C 组成输入选频回路,用来选出所需要的信号。晶体三极管21BG 用于放大信号,12R 、22R 和52R 为三极管21BG 的直流偏置电阻,用以保证晶体管工作于放大区域,且放大器工作于甲类状态。三极管21BG 集电极接有LC 调谐回路,用来谐振于某一工作频率上。本实验电路设计有单调谐与双调谐回路,由开关22K 控制。当22K 断开时,为电容耦合双调谐回路,12L 、22L 、42C 和52C 组成了初级回路,32L 、42L 和92C 组成了次级回路,两回路之间由电容62C 进行耦合,调整62C 可调整其耦合度。当开关22K 接通时,即电容62C 被短路,此时两个回路合并成单个回路,故该电路为单调谐回路。图中12D 、22D 为变容二极管,通过改变ADVIN 的直流电压,即可改变变容二极管的电容,达到对回路的调谐。三个二极管的并联,其目的是增大变容二极管的容量。图中开关21K 控制32R 是否接入集电极回路,21K 接通时(开关往下拨为接通),将电阻32R (2K )并入回路,使集电极负载电阻减小,回路Q 值降低,放大器增益减小。图中62R 、72R 、82R 和三极管22BG 组成放大器,用来对所选信号进一步放大。 202TP 为输出信号测试点,202P 为信号输出铆孔。

通信电子线路课程设计

通信电子线路课程设计 学院信息工程学院班级通信0711 姓名邱加钦学号 2007830029 成绩指导老师马中华陈红霞 2010年 1 月 4 日

通信电子线路课程设计报告 一设计名称:调频无线话筒的设计 二设计时间:2010年1月1日~1月5日 三设计地点:集美大学信息工程学院通信实验室 四指导老师:马中华、陈红霞 五设计目的: 1,了解无线话筒的发射原理; 2,熟练掌握protel设计; 3,完成简单的无线话筒制作; 4,通过制作和检测无线话筒,加深对放功率放大器的认识。 六设计原理 调频无线话筒是一种可以将声音或者歌声转换成88~108MHz的无线电波发射出去,距离可以达到30~50m,用普通调频收音机或者带收音机功能的手机就可以接收。 将声音调制到高频载波上,可以用调幅的方法,也可以用调频的方法。 与调幅相比,调频具有保真度好,抗干扰性强的优点,缺点是占用频带较宽。 调频的方式一般用于超短波波段。 1、调频无线话筒的框图如下: T2 图1 调频话筒框图 2、设计原理图:

图2 试验原理图 晶体管T1和其周围的电路构成高频振荡器,振荡频率由L、C4、C5、T1的结电容决定。 加至T1管基极的音频信号电压,会使c-b结电容随它变化,从而实现调频。 C4可改变中心频率的选择(88~108MHz)。 T1输出调频信号,通过C7耦合到T2管的基极,经过T2管放大后从天线辐射出去。T2管构成高频放大器,还有缓冲作用,隔离了天线对高频振荡器的影响,使振荡频率更加稳定。 七设计内容 1,protel设计 (1)电路原理图设计。按设计原理图进行电路原理图的绘制。如图3示。

通信电子线路实物实验报告

东南大学电工电子实验中心 实验报告 课程名称:电子电路与综合实验 第一次实物实验 院(系):信息科学与工程学院专业:信息工程姓名:陈金炜学号:04013130 实验室:高频实验室实验组别: 同组人员:陈秦郭子衡邹俊昊实验时间:2015年11月21日评定成绩:审阅教师:

实验一常用仪器使用 一、实验目的 1. 通过实验掌握常用示波器、信号源和频谱仪等仪器的使用,并理解常用仪器的基本工作 原理; 2.通过实验掌握振幅调制、频率调制的基本概念。 二、实验仪器 示波器(带宽大于 100MHz) 1台 万用表 1台 双路直流稳压电源 1台 信号发生器 1台 频谱仪 1台 多功能实验箱 1 套 多功能智能测试仪1 台 三、实验内容 1、说明频谱仪的主要工作原理,示波器测量精度与示波器带宽、与被测信号频率之间关系。 答: (1)频谱仪结构框图为: 频谱仪的主要工作原理: ①对信号进行时域的采集,对其进行傅里叶变换,将其转换成频域信号。这种方法对于AD 要求很高,但还是难以分析高频信号。

②通过直接接收,称为超外差接收直接扫描调谐分析仪。即:信号通过混频器与本振混频后得到中频,采用固定中频的办法,并使本振在信号可能的频谱范围内变化。得到中频后进行滤波和检波,就可以获取信号中某一频率分量的大小。 (2)示波器的测量精度与示波器带宽、被测信号频率之间的关系: 示波器的带宽越宽,在通带内的衰减就越缓慢; 示波器带宽越宽,被测信号频率离示波器通带截止频率点就越远,则测得的数据精度约高。 2、画出示波器测量电源上电时间示意图,说明示波器可以捕获电源上电上升时间的工作原理。 答: 上电时间示意图: 工作原理: 捕获这个过程需要示波器采样周期小于过渡时间。示波器探头与电源相连,使示波器工作于“正常”触发方式,接通电源后,便有电信号进入示波器,由于示波器为“正常”触发方式,所以在屏幕上会显示出电势波形;并且当上电完成后,由于没有触发信号,示波器将不再显示此信号。这样,就可以利用游标读出电源上电的上升时间。 3、简要说明在FM 调制过程中,调制信号的幅度与频率信息是如何加到FM 波中的? 答: 载波的瞬时角频率为()()c f t k u t ωωΩ=+,(其中f k 为与电路有关的调频比例常数) 已调的瞬时相角为00 t ()()t t c f t dt t k u t dt θωωθΩ =++? ?()= 所以FM 已调波的表达式为:000 ()cos[()]t om c f u t U t k u t dt ωθΩ =++? 当()cos m u t U t ΩΩ=Ω时,00()cos[sin ]om c f u t U t M t ωθ=+Ω+ 其中f M 为调制指数其值与调制信号的幅度m U Ω成正比,与调制信号的角频率Ω反比,即 m f f U M k Ω=Ω 。这样,调制信号的幅度与频率信息是已加到 FM 波中。

通信电子线路典型习题

通信电子线路典型习题 01、 什么叫传输线?(P-7) 02、 什么叫无损传输线?(P-9) 03、 无损传输线的特征阻抗=?(P-9) 04、 信号源的输出阻抗为150Ω,负载的阻抗为50Ω,如果用 的无损耗传输线实现阻抗匹配,求:用作匹配的传输线的特性阻抗Z C =? 05、 这种匹配方法的缺点是什么? 06、 电感的等效电路如图所示,L=100μH ,r=1Ω,工作频率f=100kHz 。 (1)求电感L 的 Q 0, (2)将电感的等效电路转换为并联形式。 07、 电路如图所示,L=100μH ,C=100pF 。 (1)当i=5cos(106/2π)t 时,确定电路的阻抗性质; (2)当i=5cos(107/2π)t 时,确定电路的阻抗性质。 08、 电路如图所示,已知:L=50μH ,C=100pF ,、r=5Ω,求ω0、回路的Q 0、BW 、、D 。 /4 i

09、电路如图所示,工作在谐振状态。已知:L=100μH,电感的r=5Ω、N1=6、N2=4、C1=100pF、C2=300pF、Rs=100KΩ、R L=50KΩ,求ω0、回路的Q、BW、、D。 10、电路如图所示,工作在谐振状态。已知:L1=100μH,L2=50μH,M=5μH,电感的r=5Ω、N1=6、N2=4、C1=100pF、C2=300pF、Rs=100KΩ、R L=50KΩ,求ω0、回路的Q、BW、、D。 11、计算3级选频放大器(n=3),单谐振回路数目为(n+1=4)时的3Db带宽BW=? 12、晶振的f q和f p的数值有什么特点?(提示:有3) 13、为了提高效率,高频功率放大器多工作在或状态。 14、为了兼顾高的输出功率和高的集电极效率,在实际应用中,通常取θ= 。

通信电路与系统课程设计2018

“通信电路与系统”课程设计任务及要求 一、课程设计题目: 1. 调频发射机设计 主要技术指标: 工作中心频率?0=6. 5MH Z或10.7MH Z, 发射功率P A≥ 50 mw效率ηA> 50%负载R L = 51Ω, 最大频偏Δ?max =20KHz 2. 调频接收机设计 主要技术指标: 工作频率?0=6. 5MH Z或10.7MH Z,输出功率P0 = 0.25w( R L = 8Ω) 灵敏度10mV 3. 调幅发射机设计 主要技术指标: 工作中心频率?0=6. 5MH Z或10.7MH Z, 发射功率P A=300mw总效率ηA> 50%调幅度m a =50% 负载R L = 51Ω, 4. 调幅接收机设计 接收信号: 载频?0=6. 5MH Z或10.7MH Z,调制信号1Khz,调幅度m a =50% 主要技术指标: 工作频率?0=6. 5MH Z或10.7MH Z,输出功率P0 =100mW( R L = 8Ω) 灵敏度20mV 5.调频与解调系统设计 主要技术指标要求:工作中心频率?0 =10MHZ或15MHZ,最大频偏Δ?max =75KHz, 调制信号1Khz, 解调输出峰峰值UOP-P ≥2V, 6.调幅与解调系统设计 调幅电路能产生AM和DSB信号, 解调电路应无失真. 主要技术指标要求:工作中心频率?0 =1MHZ 到10MHZ任选,调制信号1Khz到10KHZ任选, AM调幅度ma =50% ,载波的频率稳定度≤5 x 10 –4 /小时, 解调输出峰峰值UOP-P ≥1V 实验室已有的条件: 晶体管3DG100(3DG6)或3DG130(3DG12)9013 晶振: 2M 5M 6.5M 10.7M 10.245M 变容二极管BB910 中频变压器6.5MHz 10.7MHz 模拟乘法器MC1496 MC13135集成接收芯片LM386低功放芯片集成振荡器MC1648 锁相环NE564 二、课程设计报告格式及主要内容:(设计报告撰写要认真,不可抄袭,否则重写) 1. 设计题目及主要技术指标要求; 2. 系统总体方案设计 给出系统总体设计方案, 通过比较,确定系统各个模块的选择; 3. 各个单元电路设计 参数计算、元器件选择、电路图等; 4.电路的安装调试: 包括实际指标测试结果:数据、曲线、图表等; 对测试中的问题加以分析,说明原因,提出改进措施; 5 按国家标准画出定型电路图,PCB图(选),列出元件明细表; 6. 总结课程设计的收获及心得体会。 7. 列出参考文献

通信电子线路实验报告三点式振荡

通信电了线路课程设计 课程名称通信电子线路课程设计_________________ 专业___________________ 通信工程 ______________________ 班级___________________________________________ 学号___________________________________________ 姓名___________________________________________

指导教师________________________________________ 、八 刖 现代通信的主要任务就是迅速而准确的传输信息。随着通信技术的日益发展,组成通信系统的电子线路不断更新,其应用十分广泛。实现通信的方式和手段很多,通信电子线路主要利用电磁波传递信息的无线通信系统。 在本课程设计中,着眼于无线电通信的基础电路一一LC正弦振荡器的分析和研究。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。其中LC振荡器和晶体振荡器用于产生高频正弦波。正反馈放大器既可以由晶体管、场效应管等分立器件组成,也可由集成电路组成。LC振荡器中除了有互感耦合反馈型振荡器之外,其最基本的就是三端式(又称三点式)的振荡器。而三点式的振荡器中又有电容三点式振荡器和电感三点式振荡器这两种基本类型。 反馈振荡器是一种常用的正弦波振荡器,主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本文介绍了高频电感三点式振荡器电路的原理及设计,电感三点式易起振,调整频率方便,可以通过改变电容调整频率而不影响反馈系数。正弦波振荡器在各种电子设备中有着广泛的应用。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。 在此次的通信电子线路课程设计中,我选做的是电感三点式振荡设计,通过为时一周的上机实验,我学到了很多书本之外的知识,在老师的指导下达到实验设计的要求指

通信电子线路问题汇总-student

绪论: 1. 调幅发射机和超外差接收机的结构是怎样的?每部分的输入和输出波形是怎样的? P7 ,P9 2. 什么是接收机的灵敏度? 3.无线电电波的划分,P12 例:我国CD MA 手机占用的CDM A1X ,800MHz 频段,按照无线电波波段划分,该频段属于什么频段? 第三章: 1. 什么叫通频带?什么叫广义失谐? 2. 串联谐振回路和并联谐振回路的谐振曲线(幅度和相位)和电抗性质? 3. 串联谐振回路和并联谐振回路适用于信号源内阻和负载电阻大还是小的电路? 4. 电感抽头接入和电容抽头接入的接入系数? 5. Q值的物理意义是什么?Q值由哪些因素决定,其与通频带和回路损耗的关系怎样? 6. 串联谐振电路Q 值的计算式?谐振时电容(或电感)上电压与电阻(或电源)上电压的关系 是怎样的? 7. 并联谐振电路有哪两种形式,相应的Q值计算式是怎样的?谐振时电容(或电感)上电 流与电阻(或电源)上电流的关系是怎样的? 8. 串联LC 谐振回路的谐振频率与什么有关?回路阻抗最大值和最小值是多少,分别在什么条件下取得?当工作频率小于、等于、大于谐振频率时, 串联LC 谐振回路的阻抗性质是怎样的? 9. 并联LC 谐振回路的谐振频率与什么有关?回路阻抗最大值和最小值是多少,分别在什么条件下取得?当工作频率小于、等于、大于谐振频率时, 并联LC谐振回路的阻抗性质是怎样的? 10. Q 值较大时,串并联阻抗等效互换前后,电阻和电抗的关系是怎样的? 11. 信号源和负载对谐振电路的Q 值有何影响?串并联谐振电路对信号源内阻和负载电阻 的大小分别有什么样的要求? 12. 信号源内阻和负载电阻对串并联谐振回路的特性将产生什么影响?采取什么措施可以减 小这些影响? 13. 下面电路有几个谐振频率,分别是多少,大小关系怎样?该电路a,b端阻抗模值和电抗性 质随频率如何变化? 14. 下面电路有几个谐振频率,分别是多少,大小关系怎样?该电路a,b 端阻抗模值和电抗性质随频率如何变化? 1 L R d a + - C + - 12 =+R R R ab V db V b 2 L

通信电子线路课程设计题目及答案(正式版)

1.请问本机振荡电路的类型并估算电路的振荡频率? 答:本振的类型为Clapp 振荡器,它是电容三端式振荡器的一种变形。振荡电路的振荡频率近似等于其选频回路的谐振频率,即: f= 2.影响振荡频率的元件有哪些? 答:如下图: 如图红色椭圆标注所示,振荡频率由这些元件决定。 3.天线信号接收选频网络的作用? 答:其作用是选频,通过可变电容选择希望听到的广播信号。 4.混频电路射极电阻的作用? 答:该电阻是用于稳定混频管静态工作点而使用的电流负反馈电阻。 5.混频电路输入输出信号波形特征? 答:混频电路有两路输入信号:天线信号,其波形是疏密相间且等幅的调频信号;本振信号,其波形是高频正弦信号。混频电路输出信号:载波为中频的调频信号,其波形特征与天线信号一致,是疏密相间且等幅的调频信号。 6.混频电路集电极选频网络的作用? 答:从混频后的信号中用该选频网络滤出中频信号。 7.中频放大电路陶瓷滤波器的作用? 答:陶瓷滤波器的作用是进一步滤出中频信号,因为陶瓷滤波器的矩形系数一般要比LC谐振回路好,即具有较好的选择性。 8.检波电路中中周的作用及选频网络的中心频率是多少? 答:该中周的作用是将信号中频率的变化转化为电压的变化。选频网络的中心频率是:

10.7MHz 9. 低频放大电路的输出是如何调整的? 答:通过调整低放输入端可变电阻实现 10. 如何保证中频放大电路的频率是10.7MHz ? 答:要保证中放的频率是10.7MHz ,我们在电路中需要注意:中放管输出端的陶瓷滤波器要选择中心频率为10.7MHz 的产品 11. 混频级与中放级电路静态计算 答:混频级和和中放级电路的直流静态工作点分析如下: 设Tr1和Tr2的直流放大倍数分别为1β、2β,基极电流、集电极电流和发射极电流分别为i Ib 、 i Ic 和i Ie ,1,2i =,总电流为I 。 根据三极管的电流放大特性有: i i i Ic Ib β= (1) (1)i i i Ie Ib β=+ (2) 设Tr1和Tr2的基极电压分别为1Vb 、2V b ,那么 1120.7Vb Ie R =+ (3) 2240.7Vb Ie R =+ (4) 此外,

通信电子线路实验报告解析

LC与晶体振荡器 实验报告 班别:信息xxx班 组员: 指导老师:xxx

一、实验目的 1)、了解电容三点式振荡器和晶体振荡器的基本电路及其工作原理。 2)、比较静态工作点和动态工作点,了解工作点对振荡波形的影响。 3)、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。 4)、比较LC 与晶体振荡器的频率稳定度。 二、实验预习要求 实验前,预习教材:“电子线路非线性部分”第3章:正弦波振荡器;“高频电子线路”第四章:正弦波振荡器的有关章节。 三、实验原理说明 三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。 1、起振条件 1)、相位平衡条件:X ce 和X be 必 需为同性质的电抗,X cb 必需为异性质 的电抗,且它们之间满足下列关系: 2)、幅度起振条件: 图1-1 三点式振荡器 式中:q m ——晶体管的跨导, F U ——反馈系数, A U ——放大器的增益, LC X X X X Xc o C L ce be 1 |||| )(= -=+-=ω,即)(Au 1 * 'ie L oe m q q q Fu q ++ >

q ie——晶体管的输入电导, q oe——晶体管的输出电导, q'L——晶体管的等效负载电导, F U一般在0.1~0.5之间取值。 2、电容三点式振荡器 1)、电容反馈三点式电路——考毕兹振荡器 图1-2是基本的三点式电路,其缺点是晶体管的输入电容C i和输出电容Co对频率稳定度的影响较大,且频率不可调。 L1L1 (a)考毕兹振荡器(b)交流等效电路 图1-2 考毕兹振荡器 2)、串联改进型电容反馈三点式电路——克拉泼振荡器 电路如图1-3所示,其特点是在L支路中串入一个可调的小电容C3,并加大C1和C2的容量,振荡频率主要由C3和L决定。C1和C2主要起电容分压反馈作用,从而大大减小了C i和C o对频率稳定度的影响,且使频率可调。

通信电子线路问题汇总-student

绪论: 1. 调幅发射机和超外差接收机的结构是怎样的?每部分的输入和输出波形是怎样的? P7 ,P9 2. 什么是接收机的灵敏度? 3.无线电电波的划分,P12 例:我国CDMA 手机占用的CDMA1X ,800MHz 频段,按照无线电波波段划分,该频段属于什么频段? 第三章: 1. 什么叫通频带?什么叫广义失谐? 2. 串联谐振回路和并联谐振回路的谐振曲线(幅度和相位)和电抗性质? 3. 串联谐振回路和并联谐振回路适用于信号源内阻和负载电阻大还是小的电路? 4. 电感抽头接入和电容抽头接入的接入系数? 5. Q 值的物理意义是什么?Q 值由哪些因素决定,其与通频带和回路损耗的关系怎样? 6. 串联谐振电路Q 值的计算式?谐振时电容(或电感)上电压与电阻(或电源)上电压的 关系是怎样的? 7. 并联谐振电路有哪两种形式,相应的Q 值计算式是怎样的?谐振时电容(或电感)上电 流与电阻(或电源)上电流的关系是怎样的? 8. 串联LC 谐振回路的谐振频率与什么有关?回路阻抗最大值和最小值是多少,分别在什么条件下取得?当工作频率小于、等于、大于谐振频率时, 串联LC 谐振回路的阻抗性质是怎样的? 9. 并联LC 谐振回路的谐振频率与什么有关?回路阻抗最大值和最小值是多少,分别在什么条件下取得?当工作频率小于、等于、大于谐振频率时, 并联LC 谐振回路的阻抗性质是怎样的? 10. Q 值较大时,串并联阻抗等效互换前后,电阻和电抗的关系是怎样的? 11. 信号源和负载对谐振电路的Q 值有何影响?串并联谐振电路对信号源内阻和负载电阻的 大小分别有什么样的要求? 12. 信号源内阻和负载电阻对串并联谐振回路的特性将产生什么影响?采取什么措施可以 减小这些影响? 13. 下面电路有几个谐振频率,分别是多少,大小关系怎样?该电路a,b 端阻抗模值和电抗性 质随频率如何变化? 14. 下面电路有几个谐振频率,分别是多少,大小关系怎样?该电路a,b 端阻抗模值和电抗性质随频率如何变化? 1 L R d a + - C + - 12 =+R R R ab V db V b 2 L

《通信电子线路》课程教学大纲

《通信电子线路》课程教学大纲 适用专业:通信工程编写日期:2015.10 适用对象:本科执笔:彭小娟 学时数:64 审核: 课程名称:通信电子线路 课程编号:152440800 学分:3.5 分 总学时:64 学时,其中,理论学时:56,实验学时:8 学时 一、课程的性质、目的与任务 通信电子线路是通信工程类专业的核心课程,是一门理论与实践性都很强的重要技术基础课程,主要讲授组成现代通信系统各功能电路的基本原理、指标、参数的理论计算和电路分析,其教学目标是使学生掌握这些电路的基本原理、基本分析方法及其在通信中的典型应用,为将来从事通信电子系统研发工作打下坚实的基础。 先修课程:电路分析基础、模拟电子技术、信号与系统 二、教学内容、基本要求与学时分配 第一章绪论 主要内容: 1、通信系统的组成 2、通信系统中的信号与信道 3、通信系统中的发送与接收设备 基本要求: 1、了解传输媒质对通信的作用及影响。 2、理解无线通信中信息传输与处理的原理。 3、掌握无线接收与发送系统的工作过程和基本原理。 学时分配:2 第二章基础知识 主要内容: 1、LC 谐振回路的选频特性和阻抗变换特性 2、集中选频放大器 3、电噪声 4、反馈控制电路的原理及其分析方法 基本要求:

1、了解电噪声产生的原因及噪声系数的计算。 2、理解反馈控制电路的原理并掌握其分析方法。 3、掌握串、并联谐振回路的Q 值、谐振频率、谐振特性、通频带、阻抗特性、相频特性;以及串、并联阻抗的等效互换和回路抽头时阻抗的变换关系、接入系数的计算。掌握各种选频网络的特性及分析方法。 学时分配:10 第三章高频小信号放大电路 主要内容: 1、概述 2、谐振放大器 3、宽带放大器 4、集成高频小信号放大电路 基本要求: 1、了解宽带放大器相关概念及其性能特点。 2、理解理解谐振放大器工作不稳定的原因。 3、掌握高频小信号放大器增益、通频带、选择性和稳定性等质量指标的含义及计算。掌握晶体管小信号放大器等效电路的分析方法。 学时分配:8 第四章高频功率放大电路 主要内容: 1、概述 2、丙类谐振功率放大电路 3、宽带高频功率放大电路与功率合成电路 4、集成高频功率放大电路及应用 基本要求: 1、了解宽带功率放大器的相关特性。 2、理解晶体管功率放大器的高频特性,输出匹配网络等特性。 3、掌握高频功率放大器的折线分析法、动态特性和负载特性。 4、掌握高频功率放大器欠压、临界、过压三种工作状态的特点及电压电流波形。 5、掌握高频功放功率和效率的计算。 学时分配:8 第五章正弦波振荡器 主要内容: 1、概述 2、反馈振荡原理 3、LC 振荡器

相关文档
最新文档