单片机-课程设计三人抢答器

单片机-课程设计三人抢答器
单片机-课程设计三人抢答器

三人抢答器模拟实验报告

一.目的与要求

1.目的

(1)培养学生综合利用一一51单片机的软硬件知识进行程序设计的能力,

问题。

(2)进一步加深对一一51单片机的内部结构和程序设计方法的理解。

(3)提高学生建立程序文档,归纳总结的能力。

2.基本要求

(1)要求用一一51单片机知识完成程序的设计。

(2)源代码程序要求必要的注释。

3.创新要求

在基本要求达到后,可以进行创新设计,更加完善程序功能。

二.系统总体设计

解决一定实际

多于一一51单片机的智能抢答器的设计,主要实现以下几种功能:

(1)当主持人按下控制按钮时,抢答开始,蜂鸣器响起,提醒选手可以开始抢

答。

(2)从开始抢答起,自动计时5秒抢答时间,在此期间如有选手要回答问题,按

下自己的抢答开关,若抢到,与之相对应的灯亮起。

(3)如在规定抢答时间内,无人抢答问题,那么此题作废,主持人可按键进行下

一答。那么可以「题抢

三.硬件系统设计

+5V

pl. 0

pl. 2

pl. 4

pl. 5

KL LED!

LED2

LED3

SW1

SW

2

蜂鸣器

该抢答器的设计是基于一一51单片机的,使用到的硬件主要有8051的P1和P3 口灯,外部中断0和定时/计数器0

1?使用的三个开关1、2、3分别代表的是三名选手的控制按键选用P1 口中的P1.0、P1.1、P1.2标是三名选手。

2?使用的三盏灯表示相应的选手的指示灯,亮起即表示该名选手抢得该题。

3.外部中断0与P3.2相连,功能为主持人按键,按下此开关抢答即开始。

43.3与蜂鸣器相连。

四.程序流程图

五.程序

8000H

8003H

800

3 / 5

;开始计时 0

R7, #00H

R6, #15H

R6, $

R7,

P3.3 ;蜂鸣器响起

P1.31

P1.42

P1.53

L1: P1.0

P3.4

L2: P1.1

01H

;设置 0 P3.5

P3.4

;开中断 ;判别抢答位

0 P3.4 0

L3: P1.2

P3.4

000H

04 ;T0 中断为 50

R0

100 ;抢答时间设置为

50 R000H

P3.5

P3.6

P1.0

P1.1

P1.2

R000H

P3.5

P3.4

六.调试程序的方法

在调试的过程中,可采用单步执行的方法调试,在单步执行的过程中可穿插使用运行至光标处然后加入中断,执行中断任务。

七.所设计问题的不足和改进方案

由于时间和设备资源限制,本设计内容较为简单,而且功能比较单一,且选手抢答后开关不能自己复位,需靠选手自行将开关拨回。(后附改进版)

八.收获体会

通过单片机的设计实习,我还是学到了很多的东西。在平时上课没有注意到的地方或是还不太明白的知识点,我都通过设计当中自己查相关资料和询问其他同学解决掉了。设计当中还学到了一些相关的课外知识。设计实习提高了我的思能力,动手能力,还有团队合作的能力,以上这些都是在课上体会不到而在以后的工作当中相当重要的。

这次单片机的设计实习,我虽然参考了很多的资料,但由于知识有限,经验不足,实验仪器的限制,再加上时间并不是十分充裕,做的并不是十全十美。虽然程序能够运行,但程序没有考虑到所有可能的情况,程序本身也存在一些漏洞。希望王老师能够指教。

2007-12-30

5 / 5

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

武汉工程大学单片机多路抢答器的课程设计资料

电气信息学院 单片机技术课程设计报告 课题名称多路抢答器的设计 专业班级10 电气4班 学号2010500238 __________________ 学生姓名________ 杨彬____________ 扌旨导教师______ 易先军___________ 评分_____________________________

2013年6月17日至6月21日

课程设计量化评分标准 指导老师评语:

答辩记录 1、例举设计过程中遇到的问题及其解决方法(至少两例)。答:(1)问题说明:对于采用独立式按键设计还是行列式按键设计有所困扰。 解决方法:行列式键盘是采用X*丫型按键来实现I/O的扩展的,这种按键的排 列方式可以有效的提高I/O 的利用率。 (2)问题说明:Proteus 软件中,从元器件库中调出的元件有的不能仿真。 解决方法:Proteus 里面又不是器件是没有仿真模型的,只是个原理图 符号,故必须选含仿真模型的器件。 2、教师现场提的问题记录在此(不少于2个问题)。 (1)Proteus 软件的主要功能是什么? 答:Proteus 软件可以仿真、分析各种模拟电路与集成电路,软件提供了大量模拟与数字元器件及外部设备,各种虚拟仪器,特别是它具有对单片机及其外围电路组成的综合系统的交互仿真功能。 (2)如果有多个按键几乎同时按下,你是如何来保证最先按下的按钮抢答成功的? 答:可以通过锁存器达到目的。当有第一个按键被按下时,锁存器将迅速锁存优先抢答者的按键状态,并能同时禁止其他选手按键,使其按键操作无效。

现如今生活娱乐的多元化已是现代的生活方式之一。知识、娱乐比赛更是流行于各行各业,而其中又以抢答形式为主。在抢答过程中,为了知道哪一组或 哪一位选手优先获得抢答权,必须要设计一个系统来完成这个任务,避免人的主观意识判断错误。在抢答中,只靠视觉是很难判断出哪组先答题。利用单片机系统来设计抢答器,使以上问题得以解决,即使两组的抢答时间相差甚小,也可分辨出哪组优先答题。此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 关键词:AT89C51单片机;抢答器;数码管;报警器 I

基于PLC的六路抢答器系统设计

电气及自动化课程设计报告 题目:基于PLC的六路抢答器系统设计 课程:PLC原理与应用 学生姓名: 学生学号: 年级:14级 专业:自动化 班级:2班

指导教师: 机械与电气工程学院制 2017年6月 目录 1课程设计的任务和要求 (1) 1.1课程设计的任务 (1) 1.2课程设计的要求 (1) 2.PLC控制器的原理与组成 (1) 2.1PLC硬件系统 (1) 2.2PLC工作原理 (3) 2.3六人抢答器基本组成 (4) 2.4六人抢答器工作原理 (4) 3六人抢答器系统设计方案制定 (5) 3.1PLC选型 (5) 3.2六人抢答器系统的I/O口分配 (6) 4六人抢答器系统的软件设计 (7) 4.1PLC编程语言 (7) 4.2抢答器系统程序 (7)

4.2.1主持人控制端 (7) 4.2.2抢答成功与抢答犯规指示灯显示 (9) 4.2.3七段数码管显示 (9) 4.2.4蜂鸣器电路 (11) 5六人抢答器系统程序仿真 (12) 5.1抢答成功仿真 (12) 5.2抢答犯规及抢答超时仿真 (12) 5.3加减分及数码管显示 (13) 5.4抢答超时 (14) 6总结及心得体会 (14) 参考文献 (15)

基于PLC的六路抢答器系统设计 机械与电气工程学院自动化专业 1课程设计的任务和要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六路抢答器的系统设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)主持人控制功能,具有开始抢答按钮和复位按钮; (2)主持人未按下开始抢答按钮时抢答为违规抢答,违规指示灯亮,蜂鸣器响; (3)抢答延时,超过20S无人抢答时此题作废,蜂鸣器长鸣; (4)抢答成功后,抢答成功指示灯亮,数码管显示抢答成功的队伍编号; (5)在抢答成功后,主持人根据回答的正确与否可以对该队伍进行加减分控制; (6)每次正确抢答时,只有第一位按下抢答按钮的队伍为有效抢答。 2.PLC控制器的原理与组成 2.1PLC硬件系统 可编程控制器,英文称ProgrammableLogicController,简称PLC。PLC是基于电子计算机,且适用于工业现场工作的电控制器。它源于继电控制装置,但它不像继电装置那样,通过电路的物理过程实现控制,而主要靠运行存储于PLC内存中的程序,进行入出信息变换实现控制。PLC基于电子计算机,但并不等同于普通计算机。普遍计算机进行入出信息变换,多只考虑信息本身,信息的入出,只要人机界面好就可以了。而PLC则还要考虑信息入出的可靠性、实时性,以及信息的使用等问题。特别要考虑怎么适应于工业环境,如便于安装,抗干扰等问题[1]。

基于c语言知识单片机8位竞赛抢答器设计课程规划设计

课程设计报告 课程名称:单片机课程设计 报告题目:8位竞赛抢答器的设计学生姓名: 所在学院:信息科学与工程学院专业班级: 学生学号: 指导教师: 2013 年12月25日

课程设计任务书

摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C52单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-30s设定;可以显示是哪位选手有效抢答,正确按键后有5s的音乐提示(即扬声器发出响声);抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 关键词:89c52;电路;显示;按键

目录 一、概述 (1) 二、方案设计 (1) 三、硬件电路设计 (2) 1、抢答器的电路框图 (2) 2、单元电路 (3) 2.1、抢答器电路 (3) 2.2、时序控制电路 (3) 2.3、复位电路 (3) 3、时钟震荡电路 (3) 4、报警电路 (3) 四、软件设计 (4) 1、系统主程序 (4) 2、系统程序 (5) 五、结论与心得 (10) 六、参考文献 (10)

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

六路抢答器论文

六路数字抢答器设计方法 王亚静 摘要:有许多比赛活动中为了准确、公正、直观的判断出第一抢答者,通常设置一台抢答器,通过灯光等手段指示出第一抢答者。同时,还可以设置记分、犯规及奖惩记录等多种功能。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,开始抢答,如有参赛者按抢答开关,则该组指示灯亮显示出抢答者的组别。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 关键词:第一抢答者 抢答器 锁存 复位 自锁功能 一.设计任务与技术要求 1、设计任务 设计制作一个可供6组选手参加比赛的数字式竞赛抢答器。 2、技术要求 (1) 抢答器同时供6名选手或6个代表队比赛,分别用6个按钮S1、S2、S3、S4、S5、S6表示。 (2) 设置一个系统清除和抢答控制开关S0,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即具有第一个抢答信号的鉴别和数据锁存的功能。抢答开始后,若选手按动抢答按钮,锁存相应编号,相应灯亮起,并封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 二.总体方案设计及电路的工作原理: 1. 总体方案 数字式抢答器原理框图如图1所示。它由主体电路组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能亮起相应灯泡同时横封锁输入电路,禁止其他选手抢答。

图1 2.工作原理 工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号二极管灭灯;主持人将开关置“开始”状态,宣布"开始"抢答器工作。选手抢答时,抢答器完成:优先判断、编号锁存、二极管发光显示。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。计数输入由秒脉冲发生器提供。 三.单元电路的设计及电路图 1.抢答电路 抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供显示电路用;二是要使其他选手的按键操作无效。这里选用6D触发器74LS174,其电路图如图2所示。 图2 其工作原理是: 当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S1),74LS174的输出Q1=1,二极管D1发光。此外,Q1=1,经非门过74HC30输出为高电平,74LS174处于禁止状态,封锁其他按键的输入,保证了抢答者的优先性。如有再次抢答需由主持人将 S0开关重新置“清零”, 6D触发器74LS174的CLR端为低电平,输出端(Q1~Q6)全部为低电平,于是二极管灭灯;然后再进行下一轮抢答。 2.反馈电路 这部分电路是用8与非门74HC30和6个非门构成,电路图如图3.

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

基于单片机STC89C52RC的八路抢答器课程设计报告75092282

基于单片机STC89C52RC的八路抢答器课程设计报告75092282

信息与电子工程学院 课程设计报告 课程单片机技术应用 设计题目基于单片机STC89C52RC的八路抢答器专业应用电子技术 班级11级4班 成员姓名学号分工成绩 软件部分 硬件部分

目录 一、课程设计概述.................................................................................................................... - 1 - 1.1课程设计背景 (1) 1.2课程设计内容 (1) 1.3课程设计技术指标 (1) 二、方案的选择及确定............................................................................................................ - 1 - 2.1方案一:集成数字电路 (1) 2.2方案二:单片机 (2) 2.3方案分析比较: (2) 三、硬件设计............................................................................................................................ - 3 - 3.1系统硬件设计 (3) 3.2复位电路的设计 (3) 3.3时钟电路设计 (3) 3.4显示电路设计 (4) 3.5按键电路设计 (5) 3.6报警电路设计 (6) 3.7电源模块设计 (7) 四、系统软件设计.................................................................................................................... - 7 - 4.1系统的功能流程 (7) 4.2主程序流程图 (7) 五、系统调试过程.................................................................................................................... - 9 - 5.1软件调试 (9) 5.2硬件调试 (10) 六、总结.................................................................................................................................. - 13 - 七、遇到的问题及解决方法.................................................................................................. - 13 - 八、参考文献.......................................................................................................................... - 13 - 九、附录.................................................................................................................................. - 14 - 9.1仪器与设备 (14) 9.2元器件清单 (14)

基于PLC的六路抢答器系统设计课程设计

课程设计说明书
题目: 基于 PLC 的六路抢答器系统设计

毕业设计(论文)原创性声明和使用授权说明
原创性声明
本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教
师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加
以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研
究成果,也不包含我为获得
及其它教育机构的学位或学历
而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,
均已在文中作了明确的说明并表示了谢意。
作 者 签 名:
日 期:
指导教师签名:
日 期:
使用授权说明
本人完全了解
大学关于收集、保存、使用毕业设计(论
文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电
子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供
目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制
手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分
或全部内容。
作者签名:
日 期:

学位论文原创性声明
本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研 究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文 不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研 究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完 全意识到本声明的法律后果由本人承担。
作者签名:
日期: 年 月 日
学位论文版权使用授权书
本学位论文作者完全了解学校有关保留、使用学位论文的规定,
同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,
允许论文被查阅和借阅。本人授权
大学可以将本学位
论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩
印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名: 导师签名:
日期: 年 月 日 日期: 年 月 日

单片机课程设计八位竞赛抢答器的设计

单片机原理及接口技术 课程设计 八位竞赛抢答器的设计 姓名: 学号: 指导教师: 院系(部所):机电工程学院 专业:机械设计制造及其自动化 完成日期:2012年12月20日

摘要 随着单子技术的飞速发展,基于单片机的控制系统已广泛应用与工业、农业、电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。本设计是以八路抢答为基本理念。考虑到需设定限时回答的功能呢个,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间和抢答的号码。用开关做键盘输出,扬声器发生提示,并且有警告灯显示,正常工作时为绿灯,报警或抢答等违规信号时则出现红灯。 关键词:AT89C51;抢答器;计数器

目录 1概述 (1) 2 抢答器的硬件系统设计 (3) 2.1 系统整体方案设计 (3) 2.2 系统硬件组成 (3) 3 最小系统与主控模块的设计与实现 (5) 3.1 单片机最小硬件系统的组成简述 (5) 3.1.1 电源电路 (5) 3.1.2 时钟电路 (6) 3.1.3 复位电路 (7) 3.2 主流程图 (8) 4 模块的设计与实现 (9) 4.1 抢答电路的设计 (9) 4.2 锁存器74HC573 (9) 4.3 主持人控制电路与扬声器的设计...................... 错误!未定义书签。 4.4 显示电路的设计.................................... 错误!未定义书签。 5 软件的设计 (12) 5.1语言选择 (12) 5.2软件总体设计 (12) 总结 (13) 参考文献 (15) 致谢 (16) 附录 (17)

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级 09级 班级 09电信班1、2 指导教师 _侯益坤、伍勤谟 · 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 — (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 】 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以秒的周期响。以便答对给予基本加分

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

六路抢答器设计报告

六路数字抢答器设计报告 目录 一、任务设计和要求 (2) 二、设计方案与论证 (4) 三、电路设计计算与分析 (5) 3.1 主持人控制电路 (5) 3.2 10S倒计时电路 (7) 3.3 控制显示电路 (10) 3.4 主要元器件介绍 (12) 四、总结与心得 (18) 五、附录 (19) 附录一:元器件清单 (19) 附录二:六路抢答器原理图 (20) 附录三:六路抢答器的仿真 (21) 六、参考文献 (22) 1

一、设计任务和要求 六路数字抢答器的设计任务如下: 1.主持人按动启动按钮,抢答开始,同时开始10秒倒计时。 2.6名抢答选手编号分别为1-6,各自控制一个按钮进行抢答,有人按下时扬声器给出声音提示,倒计时电路停止计时,同时显示抢答选手的号码。 3.选用七段LED作为显示器。 4.完成电路的理论设计。 5.参数的计算和有关器件的选择。 6. 对电路进行仿真。 7.撰写设计报告书一份:A3图纸1张。报告书要求写明以下内容:(B5纸) (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (3)仿真过程的实现 课程设计要求如下: 课程设计大体可分成以下三个阶段: 1.设计与计算阶段 学生根据课程设计任务、要求和条件进行总体方案的设计,通过论证和选择,确定总体方案。此后是对方案中单元电路 2

进行选择和设计计算,包括元器件的选用和电路参数的计算。最后画出总体电路图,选用元件一览表。 2.计算机仿真及电路制版 运用仿真软件EWB或MULTISIM对设计电路进行仿真,排除电路故障、调整元器件参数、修改电路,使之达到设计指标要求。最后使用PROTEL软件完成对电路的PCB制版(选作)。 3.撰写设计报告阶段 设计报告是学生对课程设计全过程的系统总结。学生应按规定的格式撰写设计报告。设计报告的主要内容有: 1)课题名称。 2)设计任务和要求。 3)方案选择与论证。 4)原理框图,总体电路图、计算机电路仿真图,以及它们的说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 5)收获体会、存在问题和进一步的改进意见等。 3

单片机八人抢答器课程设计报告

单片机八人抢答器课程设计报告

单片机计课程设报告 八人抢答器 专业:电子信息工程 姓名: 学号: -12-3

目录 一:名称与目的 1. 设计要求及目的二:硬件电路设计 1.总体原理图 2.时钟频率电路的设计 3.复位电路的设计 4.显示电路的设计 5.键盘扫描电路的设计 6.发声 7.系统复位 三.系统软件设计1.系统原理图 2.程序流程图 3.程序 四.调试 1.系统的调试 2.具体调试 3.调试实物图 第一章:要求:

1、八人抢答逻辑:只有一个最先抢答有效。 2、在主持人控制下,10秒内抢答有效。 3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。 4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示抢答人编号和E,本次抢答结束。 5、设主持人控制键、复位键。 控制键:启动抢答 复位键:系统复位 6、开始、正常结束、抢答结束、违规抢答采用声音提示。 第二章:系统硬件设计 为使硬件电路设计尽可能合理,应注意以下几方面: (1) 尽可能采用功能强的芯片,以简化电路,功能强的芯片能够代替若干普通芯片,随着生产工艺的提高,新型芯片的的价格不断下降,并不一定比若干普通芯片价格的总和高。 (2) 留有设计余地。在设计硬件电路时,要考虑到将来修改扩展的方便。因为很少有一锤定音的电路设计,如果现在不留余地,将来可能要为一点小小的修改或扩展而被迫进行全面返工。 (3) 程序空间,选用片内程序空间足够大的单片机,本设计采用STC89C52单片机。

(4) I/O端口,在样机研制出来后进行现场试用时,往往会发现一些被忽视的问题,而这些问题不是靠单纯的软件措施来解决的。如有些新的信号需要采集,就必须增加输入检测端;有些物理量需要控制,就必须增加输出端。如果在硬件电路设计就预留出一些I/O端口,虽然当时空着没用,那么用的时候就派上用场了。原理图: 2.时钟频率电路的设计:单片机必须在时钟的驱动下才能工作.在单片机内部有一个时钟振荡电路,只需要外接一个振荡源就能产生一定的时钟信号送到单片机内部的各个单元,决定单片机的工作速度

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

(完整版)基于单片机的抢答器设计

学号1251401243 《单片机》 课程设计 (2012级本科) 题目:基于单片机的抢答器设计 系(部)院:物理与机电工程学院 专业:电气工程及其自动122班 作者姓名:杨存恩 指导教师:向根祥职称:副教授 完成日期: 2 0 1 5 年 6 月28 日

目录 1 引言 (2) 1.1 设计目的 (2) 1.2 设计要求 (2) 2 设计方案 (2) 3 硬件设计 (3) 3.1 电路原理图 (3) 3.2总体设计电路图 (3) 3.2.1 振荡电路 (4) 3.2.2 复位电路 (4) 3.2.3 加减分电路及蜂鸣器报警电路 (5) 3.2.4 抢答电路及裁判控制开始停止电路 (5) 3.2.5 数码管显示电路 (6) 4 仿真过程示意 (7) 4.1 开始抢答 (7) 4.2 抢答倒计时 (8) 4.3 答题及答题倒计时 (8) 4.4 违规抢答 (9) 4.5 答题正确加分 (9) 4.6 答题错误减分 (9) 4.7 抢答结束 (10) 5 程序流程图 (11) 6 课程设计总结 (11) 参考文献 (12) 附录:源程序清单 (13)

1 引言 1.1 设计目的 现如今电视节目日益丰富其中的竞赛环节也越来越多,其中智力抢答器是不可或缺的器材。在本学期学习了单片机这门课之后,我觉得可以试着自己来实现抢答器的功能,令它能准确、公正、直观地判断出第一抢答者,并通过抢答器的数码管显示和蜂鸣器报警指出抢答组别。最终做出一种数字式抢答器的设计方案,通过Proteus设计完成,利用keil2软件编辑程序,仿真验证,适用于多种竞赛场合。 1.2 设计要求 设计一个用于智力竞赛的抢答器,其功能的实现是由单片机控制的,满足:(1)能容许2-6组进行抢答。 (2)能显示抢答组号。 (3)各组记分,并能记分显示。 (4)比赛结束时,能发出报警声。 2 设计方案 在设计中采用的单片机是AT89C51,它主要负责控制各个部分协调工作。P1.0和P1.7由裁判控制,分别是抢答开始和停止键。P1.1—P1.6是6组抢答的输入口,按下对应按钮即为抢答。P0口为数码管的段选口,位选口用的是P2口的低4位,外部中断0。外部中断1,P3.3用于控制有组答题完成后结束计时。P3.4—P3.5分别实现了分数的加一和减一。P3.6为蜂鸣器的控制口。外部中断和内部中断并存,单片机有硬件复位端,只要输入持续4个机器周期的高电平即可实现复位。外部还接有蜂鸣器用来发出报警音。采用7SEG-MPX4-CC-BLUE显示,它是共阴极的由高电平点亮。系统仿真用到了Proteus软件,通过仿真可以显示所设计系统的功能,对于程序的调试等有很大的帮助。

六路抢答器课程设计

湖南大学课程设计报告 课程名称:电子技术课程设计 系部:电气工程系 专业班级:电子科学技术 学生姓名: 指导教师: 完成时间: 2011.06.19 报告成绩:

目录 摘要 3 第一章、设计题目 4 第二章、设计目的 4 第三章、设计要求 4 3.1设计指标 4 3.2设计要求 4 第四章、设计方案与论证 5 第五章、系统具体电路设计及原理 5 5.1抢答器电路的设计 5 5.2定时电路的设计 5 5.3报警电路的设计 (6) 5.4时序控制电路的设计 (6) 第六章、主要元器件介绍 (7) 6.1 74LS48 和74LS192的功能表 (8) 6.2 74LS148 (9) 6.3 74LS279 (10) 6.4 74LS121 (11) 6.5NE555 (11) 第七章、设计采用元件 (13) 第八章、电路设计仿真 (13) 第九章、实验心得 (15) 第十章、参考文献 (16)

摘要 本设计的抢答器是一种比较简易的抢答器,没有使用特别多的复杂的元器件。结合上机动手实验而完成的。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要完成:设计一个六路抢答器,实现开始一定时间后,开始抢答状态,可以判定是哪个信号抢答的,同时封锁其他信号,如果过了抢答时间,仍然没有抢答或者出现抢答者同时抢答时,那么就报警。这个抢答器设计基本上满足了实际竞赛应用中的各种需要。在实际中有很大的用途。 无论是在学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有各种各样的智力竞赛抢答器,绝大多数是以模拟电路、数字电路或者模拟电路与数字电路相结合的产品。这部分抢答器已相当成熟,但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单。 数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路.经过布线,焊接,调试等工作后数字抢答器成型. 抢答器四周有安装孔,可以方便的安装在操作台上,外接抢答按钮接入相应的接线端子,如果需要外接电铃或指示灯,则接入继电器端子,安装完毕后就可以上电了,抢答器的电流输入为5V直流输入. 抢答器通上电后,蜂鸣器响,三个数码管都显示0,按下复位按钮后进入正常工作状态,这时可以设定抢答倒计时间,只要按动10进制编码按钮分别对时间的十位和个位设定,设定的时间在数码管上实时的显示出来.设定的时间范围为:0~30秒,设定完时间后,就可以按动开始按钮,表示抢答开始,这时蜂鸣器响0.1秒,提示各位选手,抢答已经开始,同时倒计时器开始从设定的时间进行倒计时. 若在抢答时间内有人抢答,则第三个数码管立即显示抢答位号,倒计时间停止倒计时,所用掉的时间就是抢答的时间,同时蜂鸣器响2秒,继电器吸合2秒,表示有人抢答,在这个按键之后按下的按键除了复位键外,其他按键均无效,只有主持人按下复位键后,可以进入下一轮抢答.

相关文档
最新文档