高质量分频器的业余制作方法

高质量分频器的业余制作方法
高质量分频器的业余制作方法

高质量分频器的业余制作方法

https://www.360docs.net/doc/5f6827535.html,/ 2010-2-22 19:23:28

高质量分频器的业余制作方法

高保真的音箱多数都是由两只或两只以上的扬声器单元构成,要高质量的还原20Hz~20kHz全频段的音频信号,必须借助优质分频器的协助。由于各自音箱的扬声器单元不同,分频器也就不能简单的代用,必须按照具体扬声器单元的特性进行制作。总结出一套较为完善的设计、制作、调试方法,只要求制作者备有一张内含20Hz~20kHz纯音频测试信号的《雨果金碟》、一个话筒信号放大电路、一只话筒和一块数字万用表,而不需要专门的测试仪器。

业余制作音箱,建议选择两分频的方式。

一、分频点频率f的选择

两分频音箱的分频点,可以在2~5kHz之间进行优化选择。一般把分频点频率f选在低音单元自上限起一个倍频程以下,高音单元自下限起一个倍频程以上的范围内。

二、分频器与功率的分配

构成音箱的高、低音单元,各自的标称功率是不—样的,而在实际节目信号的功率谱中,高频、低频信号的比例也是不一样的,因此将各种信号统计平均后,就得到了图1所示的模拟信号功率谱。将图l的功率谱进行计算,就得到了图2所示的功率分配曲线。在选择分频点时,一定要考虑功率的分配问题,使高音单元留有一定的余量。图2表示20Hz~20kHz 的总功率规一化为100%,把20Hz至某频率f所占功率为总功率的百分数,应用举例如下。转载请注明转自“维修吧-https://www.360docs.net/doc/5f6827535.html,”

如分频点为2.5kHz的二分频系统,由图2的横座标2.5kHz到曲线相交,从纵座标读出百分数,则20Hz-2.5kHz的功率比例为87%,2.5kHz-20kHz的功率比例为13%。当总功率为100W时,则低音功率W低=100×87%=87W,高音功率W高=100×13%=13W。

使用上面的功率分配关系时,还请注意扬声器单元的功率标准。一般产品标注是额定最大正弦功率(RMS),而有的制造厂为了商业目的,标注峰值功率或称为音乐功率,但数值一般却是RMS功率的2—4倍。

三、分频方式的选择

分频方式虽然有6dB/oct型、18dB/oct型、3dB降落点交叉型及12dB/oct型、6dB 降落点交叉型等数种,但综合考虑它们的优缺点,建议使用12dB/oct型。

四、分频网络

设计分频网络时,如把负载单元加入Rc阻抗补偿电路,作为恒阻抗进行设计,这样当然是最好。但笔者查阅大量书刊资料后,发现Rc阻抗补偿电路的计算方法有多种,而得出的RC值也不相同,让人不易选择,只好按频点电阻法来进行设计。

首先,用图3所示电路连接,测出高、低音单元在分频点处的阻值(注意不要用单元标称阻抗代替,否则误差会很大),然后进行右上表中的计算和按图将LC元件连接,即告初步制作完成。高、低音单元的灵敏度不平衡,可用电阻衰减调节,制作时建议使用优质聚丙烯电容,优化设计空芯电感,将元件用热熔胶固定在印制板上,电感可用棉线或塑料扎扣带加强固定,用搭棚焊的方法连接,做成高、低音通道各自独立的分线分音方式。转载请注明转

自“维修吧-https://www.360docs.net/doc/5f6827535.html,”

五、调试方法

根据声压级平方反比定律,点声源在自由空间中,距离增加一倍,声压级衰减6dB。利用这一定律,就可以进行下面的实际操作。

把音箱体和扬声器单元装好,不接分频器,用《雨果金碟》测试信号,按正常的放音方式,用固定音量2~3W,重复播放分频点处频率f,用图4自制的简易声压测试仪,在2m 处测试声压,调节话筒音量电位器使数字万用表读数,为一容易记忆的整数,记下备用。然后,接入分频器低通网络,将声压计放在1m处,测试读数与上次应相同,否则,按读数大(小)增大(减小)电容量,直到读数相同(这时分频点频率f衰减6dB)。然后,将信号重新直接输入低音单元,将测试信号调节成高于分频点频率f的倍频程信号,用声压计在4m处测试声压,记下读数备用。最后,接人分频器低通网络,将声压计放在1m处,读数与上次相同,否则,稍加微调(这时倍频程频率f衰减12dB),这样,低音网络就调试完毕。高音网络重复以上操作步骤,调节电感,注意第二步输入低于分频点频率f的倍频程信号。这样,一套高质量的分频器就制作和调试完成。

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

电子分频器要注意的几点问题及故障排除

电子分频器要注意的几点问题及故障排除网络摘编 电子分频器: 电子分频器的主要功能当然就是给不同的音箱分配好不同的工作频率了,当然还有保护音箱的功能,下面说下调整电子分频器时需要注意的几点问题及故障排除: 1、分频点: 在一个2分频的音响系统中,一般情况下分频点放在130Hz附近比较合适,但很多情况下,对分频点的调整实际上不是取决于低音音箱,而是要看中高音或全频音箱。因为低音音箱在300Hz以下工作都可以,但有些中高音和全频音箱由于扬声器口径太小,动态范围不够大,必须在200Hz以上工作才能保证它们的安全,如果此时分频点分在130Hz附近,那么这些中高音音箱工作起来就很危险了,因此在效果和安全当中还是要找一个平衡点。我觉得双15寸的全频主音箱最好不要经过电子分频器;单15寸的主音箱可灵活运用;而单12寸以下的主音箱最好要通过电子分频器,至少在180Hz以上工作才安全。 2、音量控制: 不管是输入电平还是输出电平,调整的时候都要有一个度,不要开的太大。如果是电子分频器上的各个音量旋钮都开到很大了,系统的声压还不够,那就要调整电子分频器前面设备的信号电平或者调整电子分频器下面功放的电平和音量开关了。 3、×10按钮: 有一些电子分频器上有一个: ×10的按钮,大家注意不要轻易按下它。 例如我们的分频点调整在200Hz的话,按下此按钮200×10就变成2000Hz 了,因此除非是需要,否则一般不要按下此按钮。

4、低音模式: 有些电子分频器后面板有一个低音模式的选择,它可以把2路立体声信号混合成1路单声道信号,这样可以减少低音音箱之间的声干涉。大家可以适当利用下。 当然要是低音分频点分的较高,那么低音音箱发出的声音就会有一定的指向性了,此时还是要在2路立体声信号的状态下工作较好。 5、立体声工作模式和单声道工作模式: 目前我们使用的大多数电子分频器都是2分频的居多,考虑到灵活性和多功能性,这些电子分频器的后面板一般会有一个立体声和单声道的工作模式转换开关,如果把此开关放在单声道工作模式下,那么此时这台电子分频器就从一台双通道2分频的电子分频器变成了一台单通道3分频的电子分频器了。因此除非必要,否则不要轻易转换此工作开关,要不然电子分频器后面信号输出口所输出的频率信号就会大不一样了!轻者恶化了音质,重者还会损坏设备! 6、系统中低音信号的输出和中高音信号的输出一定不要搞混了,否则高音信号给了低音音箱,低音信号给了高音音箱,那样南辕北辙的做法音响系统中就真的没有声音出来了,因为频率不对呀!搞不好还会烧坏音箱呢! 电子分频器故障例子: 1、05年朋友在长沙做了一个大型的酒吧,音响系统中共使用了单12寸全频主音箱16只,双18寸重低音音箱22只,还有其它20多只辅助音箱。但开业几天后发现主音箱的单12寸的喇叭坏了2只,开始那里的技术人员以为是正常损坏,更换了2只新的喇叭了事,但后来一个星期内陆陆续续的又坏了6只12寸的全频喇叭,这样就很不正常了,而且除了12寸主音箱发生故障外别的音箱都没有问题。后来我去帮忙检查了下系统,发现那里的电子分频器分的频率太低,我把分频器的分频点从130Hz调高到了230Hz,这样问题就解决了,而且低音效果也比以前好了很多。其实道理很简单: 这个系统中由于要兼顾人声演出,所以采用了对人声表现较好的12寸全频主音箱,开始时电子分频器的分频点在130Hz,这是什么概念呢?就是说系统中

音响分频器原理

音响分频器原理 音箱分频器原理浅释【二分频器样品展示】 首先大家要明白如下道理: 电容器:当电容器两端加载电压的时候,两端就会感应并存储电荷,所以电容器是一个临时的储存电能的器件,当电容器两端电压变化很快的时候【即高频】,由于电压变化太快导致两端感应电荷也同步地变化,也就等效于有电流流过电容器,而当频率很低的时候,电容器两端电压变化很慢,近似没有电流流过。所以说电容器是阻低频通高频的。 线圈:当有电流通过的时候,如果电流的大小和方向发生变化,线圈会产生感应电动势【电压】,它与原来的电压方向相反,即线圈是阻碍变化的电流通过的,当电流变化很快的时候,线圈产生的负电压会很大【根据公式伏电压和频率成正比】,所以线圈是阻高频通低频的。【因为频率很低的时候近似负电压很低或为0,即可以让低频电流顺利通过】

所以音箱分频器采用了上图结构,具体分析: 连接高音喇叭的电路:让电流先流过电容器,阻止低频,让高频通过,并且喇叭与一个线圈并联,让线圈产生负电压,那么这个电压对于高音喇叭来说正好是一个电压补偿,于是可以近似地逼真还原声音电流。 连接低音喇叭电路:电流先流过线圈,这样高频部分被阻止,而低频段由于线圈基本没有阻碍作用而顺利通过,同样,低音喇叭并联了一个电容器,就是利用电容器在高频的时候产生一个电压来补偿损失的电压,道理和高音喇叭端是一样的。 可以看出,分频器充分利用的电容器和线圈的特性达到分频。但是,线圈和电容器在各自阻碍的频率段内终究还是消耗了电压的,所以电路分频器会损失一定的声音,其补偿措施也有很多,由于笔者知识不够,难以说的很清楚。而电子分频就解决了这个问题,当声音输入到功放之前就先分频,然后对不同的频段使用专门的放大电路进行放大,这样的话声音失真小,还原逼真。但是电路复杂,造价昂贵。 下面是一个常用的电路分频器: 下面我们再看两个二分频器:

音响方面的一些小知识

收集整理的一些小知识: 音源:音源就是提供声音的来源,就是因为音源本身信号较微弱,而要满足人们需要的响度就需要进行扩大声音,所以才有扩声系统的出现。一般音源有CD ,DVD,VCD,话筒拾取的信号等等, 调音台:英文(MIXER/MIXING),是专业音响系统中必不可少的设备,它主要起着四个作用:1,信号混合,2信号分配。3信号处理(也就是所谓调音),4信号放大。它在系统中主要起将小电压信号进行放到大我们需求的电平大小,然后将多路信号进行任意分配再进行混合输出(也就是矩阵结构)。尤其是放大这里,本人看过一些资料,有一个形象的比喻很贴切,就是增益这里就好像一条河一样,当河中的水比较浅时,这样水在通过河时就容易将河中的泥沙一起带走冲走,如果河中的水较深时,河水通过河道时就比较清澈见底,同样泥沙也就沉底了,没有被河水一同冲走,流走。这里的泥沙就是输入通道或其它的噪声,而河水就是我们处理的信号。所以增益的作用也就提高了系统的信噪比,降低了系统的噪声。还有可以将信号源进行音色方面的调整,也就是快速简单的调音工作就是在调音台上的参量EQ进行的。在系统中就好像是一个加工工厂一样。 均衡器:英文(EQ),EQ分两种,一种为图示均衡器(GEQ),另一种为参量均衡器(PEQ)。均衡器就是将全频段信号分为多个小频段,再分别对以一个频点为中心频率地对频段进行相应的提升和衰减,也就是GAIN。在系统中主要起对声场中的不均匀(声场中频率的传输特性引起的)各种缺陷进行补偿,使声场达到频响平坦和均匀。还可对音响设备(如音箱)自身的频响性能进行补偿与校正及对节目源的音色调节。另外在扩声系统中对啸叫的控制也是EQ的作用,如舞台返听用的EQ就是此作用。它在系统中把它称为频率处理设备。 压限器:英文(COMP/LIMITER),又称为压缩限幅器(COMP)。将压限器串接在系统中EQ之前,主要起着对节目信号的压缩作用,此时称为压缩器。对节目中突发的强信号进行按照一定比例进行压缩输出,还可以使整个节目的音量保持平稳(比如话筒离嘴的距离会不一样,这样就造成节目信号电平大小不一)。另一个作用就是调节节目的软硬效果(如迪厅,舞厅等)使节目更具振憾感。软硬效果的调节需要结合压缩器的压缩比,起控时间及释放时间的结合调节才能达到各种意想不到的效果。它在音响系统中主要称为动态处理设备。

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

专业电子分频器的使用技巧

专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。

限幅器的作用

什么是限幅器 微波限幅器是一种自控衰减器, 是一种功率调制器件。当信号输入功率较小时无衰减通过,当输入功率增大到超过某一值时,衰减会迅速增大,这一功率值称为门限电平,输入功率超过门限电平后,输出功率不再增加。实际工作中,限幅器基本上都是为整机需要而专门设计的。常用于微波扫频信号源或相位检测系统中,使输出信号幅度保持稳定。功率限幅器设计用于通信、遥感、雷达系统和高频仪器领域电子元件的输入保护。它针对不同的工作频率、需承受的微波功率、微波脉冲宽度、占空比等要求进行设计.微波限幅器通常用在接收机的放大器或混频器的前面保护它们,免受强信号的影响而烧毁。在扫频仪或测相系统中可使输出幅度保持恒定。限幅器一般由输入端口和输出端口上的隔直流电容器和集成式二极管限幅器电路组成。集成电路包含着透过50欧姆传输线并联的平面掺杂阻挡层(PDB)或Schottky二极管。限幅器在低输入电平时有很低的插入损耗和线性特性,可提供对瞬态或短时间过载的保护。它们有很低的插入损耗和回波损耗,可为您的设备提供安全保护,避免因过大射频功率、直流电压瞬变或静电放电(ESD)导致损坏。 微波限幅器主要参数定义 1.限幅电平:限幅器开始限幅时的功率值。 2.插入损耗:输入电平低于门限电平时输入信号损耗,一般在-10dBm 下测试。 3.承受功率:能承受的最大输入功率(脉冲功率,脉冲平均功率,连续波功率)。 4.恢复时间:以输入脉冲终止开始,到限幅器损耗比插入损耗大3dB为止的时间。

限幅器原理是什么? 理想限幅器是一个无记忆的非线性电路。理想限幅器应具有放大和限幅的双重功能,且要求其放大量为无穷大、限幅是瞬时的。通常限幅器是由非线性限幅器件和一个带通滤波器组成,调频波通过它时,首先由非线性器件将其超过限幅电平E的那部分幅度切去,然后经带通滤波器滤出其基波分量,以使输出电压的频率仍和输入的频率一致。实际设计中,我们采用在一个近似中频带宽的限幅器中加入适量的正反馈,就能够明显地改善它的削弱比,起到几级无正反馈但其它结构相同的限幅器的作用。 限幅器常用在接收设备的前级,对超过门限的大功率输入信号限幅,起到保护后级敏感电路和器件的作用。限幅器的峰值输入功率是在脉冲调制占空比为1%(脉宽10μS,6GHz 以下;脉宽1μS,6GHz 以上)的条件下测试的结果。插损和驻波比是在输入连续波功率-10dBm的条件下测试的结果。 压缩/限幅器的调整及应用 人类的听感动态范围能承受的最大响度和能感受的最安静声音响度的范围可达100万:1(即106倍)听感的动态范围达120dB。扩声系统声音重放的动态范围由于受电子设备的限制,远比人耳的动态范围小很多。最低声音的响受系统中不相关噪声的限制,使小的声音信号淹没在噪声中而无法听到;最大声音的响度受信号削波的限制,使音乐信号中的特大峰值被“砍头”(削波),不仅

实验一 QUARTUS II入门和分频器设计

实验报告 课程名称EDA技术与VHDL设计 实验项目Quartus II入门 实验仪器计算机、Quartus II 系别信息与通信工程学院 专业电子信息工程 班级/学号电信1201 / 2012010970 学生姓名张宗男 实验日期 成绩 指导教师

实验一 QUARTUS II入门和分频器设计 一、实验目的 1.掌握QUARTUS II工具的基本使用方法; 2.掌握FPGA基本开发流程和DE2开发板的使用方法; 3.学习分频器设计方法。 二、实验内容 1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。 三、实验环境 1.软件工具:QUARTUS II 软件;开发语言:VHDL; 2.硬件平台:DE2实验开发板。 四、实验过程 1.设计思路 (1)、 18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、 实现10分频IF(count="1001") THEN count<="0000"; clk_temp<=NOT clk_temp; 达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。 2.VHDL源程序 (1)、 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

一文解析主动分频器和被动分频器的优缺点

一文解析主动分频器和被动分频器的优缺点 汽车音响简单的来说区分主动和被动的区别在于分频处理在功率放大之前或之后,分频在功率放大之前的称为主动,而在功放的输出端进行分频处理的称为被动分频。主动和被动都有各自的优点,声音也都有自己的优点和缺点,需要根据自己需要做选择,而不是听别人说主动好就去玩主动。下面是这两种分频的优缺点。 本文首先介绍了分频器的分类及电子分频器的工作原理,其次介绍了主动分频器的优缺点,最后介绍了被动分频器的优缺点,具体的跟随小编一起来了解一下。 分频器的分类分频器有两大类:一类是被动分频器(PassiVe Crossover),亦称功率分频器;另一类是主动分频器(Active Crossover),亦称电子分频器。 主动分频器的工作原理(电子分频器)电子分频器是利用各类有源滤波器(高通、低通、带通)将全频域的音频信号分成不同的频段,有源滤波器是由无源RC滤波网络和由运算放大器接成的跟随器组成,衰减特性随着RC阶数的增加而增强(每增加一阶,衰减斜率增加-6db/out)。 电子分频方式使功率放大器与扬声器之间只有功率传输线。而没有影响音质的其他环节,从而降低了失真,提高了功率放大器对扬声器的阻尼系数,由于电子分频器的负载是功率放大器的输入,阻抗高而且稳定,所以能很容易的调整分频点和控制分频精度,而且由于电子分频器的每一频段的带宽较窄,使非线性畸变引起的高次谐波受到抑制,降低总谐波失真和互调失真,电子分频器克服了功率分频器中存在的缺点,但增加了成本和系统调试的难度,因此多被用于专业场合。 1、二分频电子分频器的工作原理图 7-32所示为采用滤波运算综合法的二分频电子分频器框图,其基本原理是用一组高通或低通滤波器滤除相应频段的信号,然后将此信号反相后,与全屏输入信号相加,得到另一频段的信号。

电子分频是什么

电子分频是什么 说到电子分频,首先要说分频器是什么。顾名思义,分频器是一种将不同频率的信号进行分割的电路装置。其本质就是信号中的各种滤波器。通常我们指的音频的频率范围在20Hz-20KHz,高音指的是频率较高的声音,低音指的的是频率较低的声音。而在HiFi音响中,分频器的作用就是将高、中、低音,按照需要频率进行分割。因此分频器也常被称为“分音器”。 为什么需要分频器呢?因为不同的喇叭单元各自的特性不同,它们都有最佳表现的频率范围。因此在要求较高的HiFi音响系统中,利用分频器将频率进行分割,再分别交给高、中、低音喇叭,使在它们仅在最佳表现的频率范围内工作,以达到音质更佳的目的。 那么分频器有哪些种类呢?根据分频器在音响系统中所处的位置不同,我们通常又将它们分为功率分频器和电子分频器。 功率分频器: 电子分频器:

功率分频器是家庭HiFi音响中最常见的分频器,它处于功放之后、喇叭之前。正是因为它需要承受功放输出的巨大功率,所以称为功率分频器。功率分频器都是无源滤波器。 电子分频器则用来构成另一种音响系统。它处于音源之后,功放之前。经过它的音频信号较弱,所以通常用有源滤波器来实现。因此电子分频器也常被成为:有源分频器、主动分频器等。

功率分频器由于受元器件所限,所以在阻抗匹配、相位特性、插入损耗等方面和电子分频相比都不具优势。更重要的是,电子分频系统中,以多台功放分工合作的方式代替了功率分频系统中一台功放全力工作的方式,使得对功放的要求明显下降,但表现却能大大提升。 其实在专业音响上,电子分频系统早就被成熟运用。不过略有不同的是,专业音响中更多使用的电子分频器是DSP(数字信号处理器),它的最大特别是集成度高,功能强大,可以对曲线等进行各种调整。而在家用HiFi音响中,特别是对普通用户来说,笔者更推荐使用模拟的电子分频器。模拟的电子分频器没有很多功能和可调整的部分,但也因此能拥有更自然更优质的声音。 当然,不可否认,无论哪种音响系统如果设计合理,都可能发出好声音。 一家之言,仅供参考。

音响系统的组成设备的用途与认知

音响系统的组成设备的用途与认知 音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。 音响系统的组成 音响系统由监听调音台;功放调音台;便携式调音台;功率放大器;动圈话筒;电容话筒;无线话筒;音箱;监听音箱;功放音箱;超低音箱;均衡器;混响器;效果器;延时器;压缩器;限幅器;分音器;噪声门;激光唱机;录音卡座;影碟机;投影机;变调器;点歌器;耳机等众多设备组成。 扬声器有多种分类式:按其换能方式可分为电动式、电磁式、压电式、数字式等多种;按振膜结构可分为单纸盆、复合纸盆、复合号筒、同轴等多种;按振膜开头可分为锥盆式、球顶式、平板式、带式等多种;按重放频可分为高频、中频、低频和全频带扬声器;按磁路形式可分为外磁式、内磁式、双磁路式和屏蔽式等多种;按磁路性质可分为铁氧体磁体、钕硼磁体、铝镍钴磁体扬声器;按振膜材料可分纸质和非纸盆扬声器等。 箱体用来消除扬声器单元的声短路,抑制其声共振,拓宽其频响范围,减少失真。音箱的箱体外形结构有书架式和落地式之分,还有立式和卧式之分。箱体内部结构又有密闭式、倒相式、带通式、空纸盆式、迷宫式、对称驱动式和号筒式等多种形式,使用最多的是密闭式、倒相式和带通式。 分频器有功率分频和电子分频器的区别,两者主要作用都是频带分割、幅频特性与相频特性校正、阻抗补偿与衰减等作用。功率分频器又叫无源式后级分频器,是在功率功放之后进行分频的。它主要由电感、电阻、电容等无源组件组成滤波器网络,把各频段的音频信号分别送到相应频段的扬声器中去重放。它的特点就是成本低,结构简单,适合业余之作,缺点就是插入损耗大,效率低,瞬态特性较差。 功放俗称“扩音机”他的作用就是把来自音源或前级放大器的弱信号放大,推动音箱放声。一套良好的音响系统功放的作用功不可没。功放大体上可分为三大类“专业功放”“民用功放”“特殊功放”。 麦克风的作用就是把声音转接化成音频,在通过音箱播放出来,有录音,把声音放大的作用。话筒的种类:话筒按其结构不同,一般分为动圈式、晶体式、炭粒式、铝带式和电容式等数种,其中最常用的是动圈式话筒和电容式话筒,前者耐用、便宜,后者娇嫩、价格高、但特性优良 凡是对再现声进行种种放大和加工处理的设备均为音响设备。它们有如下的类别: 艺术加工类:包括调音台、混音器等。 音质补偿类:包括均衡器、激励器等。 动态处理类:包括压缩器、限制器、扩展器、噪声门、自动增益控制器等。 声音美化类:包括各种效果机。 扩大还音类:包括功率放大器、音箱、耳机、电子分频器等。音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。音响大概包括功放、周边设备(包括压限器、均衡器、延时器激励器混响器放大器分配器处理器效果器VCD、DVD等)、扬声器(音箱、喇叭)调音台、麦克风、显示设备等等加起来一套。其中,音箱就是声音输出设备、喇叭、低音炮等等。一个音箱里包括高、低、中三种扬声器,三种但不一定就三个。音源类:包括有线传声器、无线传声器、卡座、电唱机、CD机、VCD/LD/DVD机、录象机、电子乐器等。

什么是主动和被动汽车音响电子分频器

什么是主动和被动汽车音响电子分频器 所谓电子分频,就是将分频电路提至放大电路之前的电路拓扑设计,就这么简单。 电子分频不是什么新技术,应用于舞台专业音响器材已很普遍。由于电子分频时信号功率很小,很容易把频率精确分开,完全可以根据喇叭单元的特性进行分频,最大限度发挥喇叭单元的特性,得到最平直、最满意的听音曲线 什么是主动和被动汽车音响电子分频器?为了真实地重放各种节目信号,要求喇叭有尽可能宽和平坦的频率响应,即使从HI-FI用是最低低放频响要求来说,喇叭的重放频率范围也必须达到50~12500HZ。事实上,由于受扬场器结构以及制作工艺的客观限制,目前任何一种扬声器都无法在如此宽的频率范围内很好的工作。每一种扬声器都有它的有效频率范围,只能在一个较窄的范围内很好地工作,倘若扬声器的实际工作频率超出它的有效频率范围,扬声器的失真和指向性指标将明显变坏。为此,人们制造出了适用于不同频率范围的扬声器,如低频扬声器、中频扬声器、高频扬声器等等。 这样,人耳能听到的20HZ~20KHZ这个频率范围的声音就是分别通过低频扬声器、中频扬声器、高频扬声器来分别发出。但是并不能直接把这两种扬声器并联在一起,必需要有一种器件来做一个“频率分配工作”,分频器应运而生,分频器的作用就是把20~20KHZ 的全频带信号分割成不同的频段,再分配到相应的喇叭发声。 当我们在安装汽车音响套装喇叭时,经常会接触到一个器件——电子分频器。它时而被我们隐藏在仪表台下方,时而又被我们藏在车门内饰板内。可能有些朋友便会好奇,这个电子分频器到底是干什么用的?没有电子分频器可以吗?对于大家的疑问,结合各方资料,就简单给大家介绍一下电子分频器! 什么是电子分频器: 从字面上理解,电子分频器的意思就是“区分频率的器材”,其实科学原理也是如此,将音频的弱信号进行分频,然后传输给功放,让其放大音频信号,最后传输给各个扬声器。电子分频器的作用:

调音经验4、专业电子分频器的使用技巧

4专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。 这样高、中、低频信号独立输出、互不干涉,因此可以尽可能发挥不同扬声器的工作频段优势,使音响系统中各频段声音重放显得更加均衡一些,使声音更具层次感,使音色更加完美。

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

分频器

L1与C1组成的低通滤波器将200-54的分频点选在1.5kHz,这里将它的分频点恰当进步,主要是单元特性好,更重要是音频的功率八成都会集在中低频,恰当进步低频单元的截止频率,能够充分发扬单元专长,给出的声响将愈加丰满有力度。若是分频点过低,不光丧失了单元优势,反而还会加剧中频单元的担负,导致振幅过载、失真增大等弊端。 尽管中频单元的有用频响宽达800Hz~10kHz,L2、L3与C2、C 3组成的带通滤波器仅取其 1.5~6kHz的一段频带,这也是它的黄金频段。L4、C4构成的高通滤波器将YDQG5-14的分频点定为6kHz,本单元的下限截止频率也获得较高,将愈加轻松自如地在高频段发扬它的专长。因为合理的挑选分频点,3个单元各自都作业在声功率最高的频带,故体系的归纳灵敏度也要比各单元的均匀特性灵敏度高出1~2dB。 分频器元件少,电路也很简单,关于分频电容器最起码的要求是高频特性好,耗费及容量差错小。当前的聚丙烯CBB无极性电容器的耗费角正切值仅为0.08%~0.1%,高频功能优良,体积小、无感、价廉,完全能担任Hi-Fi体系分频电路的需求。本音箱选用耐压为63V的CBB21、CBB22电容器,9.4 uF的用2只4.7 uF的并联即可。高耐压电容在分频器上无大含义,价钱却成倍上升。不要盲目崇拜那些进口货洋电容,这类电容并不一定能显着改进音质,价钱却高得惊人,有时1只10 uF的电容往往超越一只中低频扬声器单元的价格。 分频线圈L的内阻R0巨细直接关系到传输功率与音质,在胆机中分频器与输出变压器二次侧线圈、扬声器音圈及传输馈线呈串联回

(一)、分频器作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功病能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频 2、保护音箱设备:我们知道不同扬声器的工作频率是不一样的,一般来说口径越大的扬声器其低频特性也越好,频率下潜也越低。就好像在相同情况下,18寸扬声器的低音效果一般会比15寸扬声器的低音效果好些;相反中音部分就要采用较小口径的扬声器了,因为通常情况下现在的纸盆振动式扬声器口径越小发出的声音频率也就越高;以此类推高音部分的振动膜片也应该很小才能发出很高频率的声音来。既然扬声器这么复杂,种类又如此繁多,那么如何保障它们能够安全有效的工作就显得很重要了。电子分频器可以提供不同扬声器各自需要的最佳工作频率,让各种扬声器更合理、更安全的工作。设想一下:假如系统中中高音音箱没有经过电子分频器分频,而是直接使用了全频段的音频信号,那么这些中高音音箱在低频信号的冲击下就会很容易损坏,因此,电子分频器除了分频任务外,正常的使用它更重要的功能还有:保护音箱设备。 3、增加声音的层次感:假如一个音响系统中有很多只不同种类的音箱,的确没有使用电子分频器,不同种类的音箱都使用未经分频的全频信号,那不同音箱之间就会有很多频率叠加、重复的部分,声干涉也会变得很严重,声音就会变得模糊不清,声场也会很差而且话筒还会容易产生声反馈。如果使用了电子分频器进行了合理的分频,让不同音箱处在最佳工作状态下,这样不同音箱之间发出的声音频率范围几乎不会重复了,这样就减少了声波互相干涉的现象,声音就会变得格外清晰,音色也会更好、更具有层次感了! (二)、缺点和不足 1、太多分频选择会导致思想混乱:俗话说有利就有弊,和其它专业音响的周边设备一样,电子分频器也不是十全十美的,有些时候系统中需要分频的音箱多了就会显得很复杂,因为不同的音箱就需要有不同的分频点、不同的工作频率段,对于水平一般的音响师来说,在这样的情况下使用电子分频器分频时会让他们觉得无从下手。因此细心仔细的调整是很重要的,同时我们还可以尽量少用4分频,采用2分频或3分频的方法,这样可以简单些,也会让我们的调整思路变得更加清晰些。 2、使用电子分频器后会导致声效下降:虽然使用电子分频器的优点很多,但由于它硬性的规定了不同音箱的工作频率范围,因此也使得这些音箱的效能受到了限制,没有完全发挥出来,浪费了很大一部分资源。例如:一只双15寸的全频音箱不经过电子分频器时可以发出很正常、较大的声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。

相关文档
最新文档