[讲解]半导体制造技术作业

[讲解]半导体制造技术作业

1. 什么叫集成电路,写出集成电路发展的五个时代及晶体管的数量,(15分)集成电路:将多个电子元件集成在一块衬底上,完成一定的电路或系统功能。

集成电路芯片/元件数产业周期无集成 1 1960年前小规模(SSI) 2到50 20世纪60年代前期

中规模(MSI) 50到5000 20世纪60年代到70年代前期

大规模(LSI) 5000到10万 20世纪70年代前期到后期

超大规模(VLSI) 10万到100万 20世纪70年代后期到80年代后期

甚大规模(ULSI) 大于100万 20世纪90年代后期到现在

2. 写出IC 制造的,个步骤,(15分)

Wafer preparation(硅片准备)

Wafer fabrication (硅片制造)

Wafer test/sort (硅片测试和拣选)

Assembly and packaging (装配和封装)

Final test(终测)

3. 写出半导体产业发展方向,什么是摩尔定律,(15分)

发展方向:提高芯片性能——提升速度(关键尺寸降低,集成度提高,研发采用新材料),降低功耗。

提高芯片可靠性——严格控制污染。

降低成本——线宽降低、晶片直径增加。

摩尔定律指:IC 的集成度将每隔一年翻一番。

1975年被修改为: IC 的集成度将每隔一年半翻一番。

4. 什么是特征尺寸CD,(10分) 最小特征尺寸,称为关键尺寸(Critical Dimension,CD)CD常用于衡量工艺难易的标志。

5. 什么是More moore定律和More than

Moore定律,(10分)

“More Moore”指的是芯片特征尺寸的不断缩小。

从几何学角度指的是为了提高密度、性能和可靠性在晶圆水平和垂直方向上的特征尺寸的继

续缩小。

与此关联的3D结构改善等非几何学工艺技术和新材料的运用来影响晶圆的电性能。

“More Than Moore”指的是用各种方法给最终用户提供附加价值,不一定要缩小特征尺寸如从系统组件级向3D集成或精确的封装级(SiP)或芯片级(SoC)转移。

6. 名词解释:high-k; low-k; Fabless; Fablite;

IDM; Foundry;Chipless(20分) high-k:高介电常数。

low-k:低介电常数。

IC 设计公司,只设计不生产。 Fabless:

Fablite:轻晶片厂,有少量晶圆制造厂的IC公司。 IDM:集成器件制造商(IDM-Integrated Device Manufactory Co.),从晶圆之设计、制造到以自有品牌行销全球皆一手包办。 Foundry:标准工艺加工厂或称专业代工厂商。 Chipless:既不生产也不设计芯片,而是设计IP内核,授权给半导体公司使用。

7. 例举出半导体产业的8种不同职业并简要描述. (15分)

1.硅片制造技师:负责操作硅片制造设备。一些设备维护以及工艺和设备的基本故障查询。

2.设备技师:查询故障并维护先进设备系统,保证在硅片制造过程中设备能正确运行。

3.设备工程师:从事确定设备设计参数和优化硅片生产的设备性能。

4.工艺工程师:分析制造工艺和设备的性能以确定优化参数设置。

5.实验室技师:从事开发实验室工作,建立并进行试验。 6:成品率/失效分析技师:从事与缺陷分析相关的工作,如准备待分析的材料并操作分析设备以确定在硅片制造过程中引起问题的根源。 7.成品率提高工程师:收集并分析成品率及测试数据以提高硅片制造性能。

半导体复习总结

半导体复习总结 必背公式 第二章 热平衡时的能带和载流子浓度 1、有效质量 n m p E 22 = 1 22d d -???? ??=p E m n 1 22d d -??? ? ??=p E m n 2、 费米分布函数 ()?? ? ??-+= kT E E E f F exp 11 本征半导体电子浓度: ??? ??--=kT E E N n F c c exp 本征半导体空穴浓度: ?? ? ??-- =kT E E N p V F V exp 质量作用定理: 2i n np = 3、 ???? ??=-D C F C N N kT E E ln ??? ? ??=-A V V F N N kT E E ln 非简并半导体 电子浓度: ??? ??-=kT E E n n F i i exp 空穴浓度: ?? ? ??-=kT E E n p i i F exp

第三章 载流子现象输运 1 、 电子迁移率: E v n n μ-= n c n m q τμ= s V cm ?/2 空穴迁移率: E v p p μ= p c p m q τμ= 2、 总电导率: () p n p n q μμσ+= 总电阻率: σ ρ1 = n 型半导体电阻率:n qn μρ1 = cm ?Ω p 型半导体电阻率:p qp μρ1 = 3、 扩散系数: l v D th n = 电子扩散电流: x n qD J n n d d = 爱因斯坦关系式: n n q kT D μ= 第四章 pn 结 1、热平衡pn 结内建电势: ???? ??=-=2ln i D A p n bi n N N q kT V ψψ 2、突变结内建电势: W E V m bi 21 = 总耗尽区宽度: bi D A D A s V N N N N q W ???? ? ?+= ε2 若D A N N >> D bi s n qN V x W ε2= =

半导体工艺与制造技术习题答案(第四章 离子注入)

第四章 离子注入与快速热处理 1.下图为一个典型的离子注入系统。 (1)给出1-6数字标识部分的名称,简述其作用。 (2)阐述部件2的工作原理。 答:(1)1:离子源,用于产生注入用的离子; 2:分析磁块,用于将分选所需的离子; 3:加速器,使离子获得所需能量; 4:中性束闸与中性束阱,使中性原子束因直线前进不能达到靶室; 5:X & Y 扫描板,使离子在整个靶片上均匀注入; 6:法拉第杯,收集束流测量注入剂量。 (2)由离子源引出的离子流含有各种成分,其中大多数是电离的,离子束进入一个低压腔体内,该腔体内的磁场方向垂直于离子束的速度方向,利用磁场对荷质比不同的离子产生的偏转作用大小不同,偏转半径由公式: 决定。最后在特定半径位置采用一个狭缝,可以将所需的离子分离出来。 2.离子在靶内运动时,损失能量可分为核阻滞和电子阻滞,解释什么是核阻滞、电子阻滞?两种阻滞本领与注入离子能量具体有何关系? 答:核阻滞即核碰撞,是注入离子与靶原子核之间的相互碰撞。因两者质量是同一数量级,一次碰撞可以损失很多能量,且可能发生大角度散射,使靶原子核离开原来的晶格位置,留下空位,形成缺陷。 电子阻滞即电子碰撞,是注入离子与靶内自由电子以及束缚电子之间的相互碰撞。因离子质量比电子质量大很多,每次碰撞损失的能量很少,且都是小角度散射,且方向随机,故经多次散射,离子运动方向基本不变。 在一级近似下,核阻滞本领与能量无关;电子阻滞本领与能量的平方根成正比。 1 2 3 4 5 6

3.什么是离子注入横向效应?同等能量注入时,As和B哪种横向效应更大?为什么? 答:离子注入的横向效应是指,注入过程中,除了垂直方向外,离子还向横向掩膜下部分进行移动,导致实际注入区域大于掩膜窗口的效应。 B的横向效应更大,因为在能量一定的情况下,轻离子比重离子的射程要深且标准差更大。 4.热退火用于消除离子注入造成的损伤,温度要低于杂质热扩散的温度,然而,杂质纵向分布仍会出现高斯展宽与拖尾现象,解释其原因。 答:离子注入后会对晶格造成简单晶格损伤和非晶层形成;损伤晶体空位密度要大于非损伤晶体,且存在大量间隙原子核其他缺陷,使扩散系数增大,扩散效应增强;故虽然热退火温度低于热扩散温度,但杂质的扩散也是非常明显的,出现高斯展宽与拖尾现象。 5.什么是离子注入中常发生的沟道效应(Channeling)和临界角?怎样避免沟道效应? 答:沟道效应,即当离子入射方向平行于主晶轴时,将很少受到核碰撞,离子将沿沟道运动,注入深度很深。由于沟道效应,使注入离子浓度的分布产生很长的拖尾;对于轻原子注入到重原子靶内是,拖尾效应尤其明显。 临界角是用来衡量注入是否会发生沟道效应的一个阈值量,当离子的速度矢量与主要晶轴方向的夹角比临界角大得多的时候,则很少发生沟道效应。临界角可用下式表示: 6.什么是固相外延(SPE)及固相外延中存在的问题? 答:固相外延是指半导体单晶上的非晶层在低于该材料的熔点或共晶点温度下外延再结晶的过程。热退火的过程就是一个固相外延的过程。 高剂量注入会导致稳定的位错环,非晶区在经过热退火固相外延后,位错环的最大浓度会位于非晶和晶体硅的界面处,这样的界面缺陷称为射程末端缺陷。若位错环位于PN结耗尽区附近,会产生大的漏电流,位错环与金属杂质结合时更严重。因此,选择的退火过程应当能够产生足够的杂质扩散,使位错环处于高掺杂区,同时又被阻挡在器件工作时的耗尽区之外。 7.离子注入在半导体工艺中有哪些常见应用? 答:阱注入、VT调整注入,轻掺杂漏极(LDD),源漏离子注入,形成SOI结构。 8.简述RTP设备的工作原理,相对于传统高温炉管它有什么优势? 答:RTP设备是利用加热灯管通过热辐射的方式选择性加热硅片,使得硅片在极短的时间内达到目标温度并稳定维持一段时间。相对于传统高温炉管,RTP设备热处理时间短,热预算小,冷壁工艺减少硅片污染。 9.简述RTP在集成电路制造中的常见应用。 答:RTP常用于退火后损失修复、杂质的快速热激活、介质的快速热加工、硅化物和接触的形成等。 10.采用无定形掩膜的情况下进行注入,若掩膜/衬底界面的杂质浓度减少至峰值

半导体公司实习报告共6篇

精选范文:半导体公司实习报告(共6 篇)为期第三个月的实习结束了,我在这三个月 的实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这个月的实习做一个工作小结。实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。格公司是在1997 年经历千辛万苦独立出来自主经营的公司,已经有十三多年的发展历史,成为集研制、生产、销售、技术培训于一体,拥有高精度电脑控制机械加工中心等全套加工设备的大型专业包装设备制造厂。目前主要生产驱动类集成ic 与光电鼠标等,产品包括:动和半自动轮转循环,机械有d/b 与w/b ,这些机械都是日本、美国高科技的技术。具有高精度、高效率、先进的自动模切机、dbing 机、wbing 机等。该半导体厂的组织机构设置很简练。主要是总经理副总经理主管管理各个部门。由于矽格公司的设备很先进,在生产线上不会像往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。该厂生产的ic 依据季节可以算得上的需求稳定,是属于定单供货型的生产。由于产品的质量要求和技术含量要求都很高,因此,生产周期也比较长,单次产品需求的数量也不大。同时,每台产品的价格非常昂贵,在万元以上。生产部门主要包括,采购,技术,生产,供应。我被安排在技术生产部工作。但其工作并不是坐在办公室悠闲地搞技术,而是跟住生产随时跑,没得座,出现问题就及时解决。实习期间,刚好该厂正是定单最鼎盛时候,也就是历年来定单最多的一年,生产进行得如火如荼。我在跟随生产部门工作的时候,方才发现,生产这部门,在企业中极其重要。它是一个公司的根源,其他的管理基层都是辅助生产高效率生产。质量是企业的第一信誉,是产品的形象。公司严把质量关,这就掌握了企业的未来。该公司正是怀着这种信念,检验程序相当严格,不合格的产品严格反工甚至对员工、调试工、组长进行罚款等处分。通过这次实习,我学会了不少东西。实践出真知啊。 [半导体公司实习报告(共6篇)]篇一:半导体公司实习报告 半导体公司实习报告 为期 [半导体公司实习报告(共6 篇)]单次产品需求的数量也不大。同时,每台产品的价格非常昂贵,在万元以上。生产部门主要包括,采购,技术,生产,供应。我被安排在技术生 产部工作。但其工作并不是坐在办公室悠闲地搞技术,而是跟住生产随时跑,没得座,出现问题就及时解 决。 实习期间,刚好该厂 正是定单最鼎盛时候,也就是历年来定单最多的一年,生产进行得如火如荼。我在跟随生产部门工作的时 候,方才发现,生产这部门,在企业中极其重要。它是一个公司的根源,其他的管理基层都是辅助生产高效 率生产。 质量是企业的篇二: 半导体公司实习报告 实习时间: 2015.03.06~ 今

半导体工艺及芯片制造技术问题答案(全)

常用术语翻译 active region 有源区 2.active ponent有源器件 3.Anneal退火 4.atmospheric pressure CVD (APCVD) 常压化学气相淀积 5.BEOL(生产线)后端工序 6.BiCMOS双极CMOS 7.bonding wire 焊线,引线 8.BPSG 硼磷硅玻璃 9.channel length沟道长度 10.chemical vapor deposition (CVD) 化学气相淀积 11.chemical mechanical planarization (CMP)化学机械平坦化 12.damascene 大马士革工艺 13.deposition淀积 14.diffusion 扩散 15.dopant concentration掺杂浓度 16.dry oxidation 干法氧化 17.epitaxial layer 外延层 18.etch rate 刻蚀速率 19.fabrication制造 20.gate oxide 栅氧化硅 21.IC reliability 集成电路可靠性 22.interlayer dielectric 层间介质(ILD) 23.ion implanter 离子注入机 24.magnetron sputtering 磁控溅射 25.metalorganic CVD(MOCVD)金属有机化学气相淀积 26.pc board 印刷电路板 27.plasma enhanced CVD(PECVD) 等离子体增强CVD 28.polish 抛光 29.RF sputtering 射频溅射 30.silicon on insulator绝缘体上硅(SOI)

电子工艺及设课程总结

北华航天工业学院 课程总结 姓名:梅卫 班级:12211 学号:20123021101 科目:电子产品工艺及设备

课程总结 1.《电子产品工艺及设备》课程简介 《电子产品工艺及设备》的出现及发展 电子技术发展迅速,电子工业生产中的新技术、新工艺不断涌现,促进了电子信息陈烨的大力发展。各类电子器件和生产技术自检相互渗透,生产日趋规模化,自动化;集成电路的发展,器件、电路和系统之间的密切结合,电子产品制造业与信息产业界限日益模糊;电子技术与计算机应用日益紧密结合,电子工业已从单一的制造业过渡到电子信息产业。现代电子产品工艺正式随着电子工业发展应运而生的,随着电子技术、信息技术与计算机应用技术的发展而发展。 学习《电子产品工艺及设备》的重要性 随着世界电子信息产业的快速发展,作为电子信息产业基础的电子元器件产业发展也异常迅速。从日常生活到现代精密航空航天工业到处都可以看到有关电子的产品或身影。从中我们可以感受到电子以及电子行业是如何改变和丰富我们生活的,有电子才有电子行业,电子行业的高速发展,离不开的是电子基础技术的支持。所以学习《电子产品工艺及设备》对于电子的快速发展起着重要的影响,也显得十分重要。电子行业将来一定会向更精密,更高效,更优越方向发展。当然这离不开电子基础产业的发展,只有当电子基数产业真正发展起来以后电子行业才能更上一个台阶。 《电子产品工艺及设备》主要内容 电子产品工艺及设备讲述了许多关于电子基础方面的内容,其主要内容包括:常用电子元器件、电路图的识读与常用工艺文件、印制电路板、常用装配工具与准备工艺、常用设备、焊接技术、常用电子测量仪器及电子产品的总装与检验,电子产品质量管理等。 2.《电子产品工艺及设备》的课程总结 本学期给我们开这门课程,非常有必要,让我们对电子产品的工艺及设备的认识又更上一个台阶,同时也了解它的重要性。在与老师的相处中非常融洽,通过本次课的学习,收获颇多,不仅是知识,更多的是对于这门课本身的认识,以及对整个电子行业的认识。也认识到本门课的重要性,故把本课程的学习课程总结如下: 绪论总结:工艺的发源与现代制造工艺:对于工业企业及其所制造的产品来说,工艺工作的出发点是为了提高劳动生产率,生产优质产品以及增加生产利润。它建立在对于时间、速度、能源、方法、程序、生产手段、工作环境、组织机构、劳动管理、质量控制等诸多因素的科学研究之上。工艺学的理论及应用,指导企业从原材料采购开始,覆盖加工、制造、检验等每一个环节,直到成品包装、入库、运输和销售(包括销售活动中的技术服务及用户信息反馈),为企业组织有节奏的均衡生产提供科学的依据。可以说,工艺是企业科学生产的法律和法规,工艺学是一门综合性的科学。 电子元器件总结:通常,对电子元器件的主要要求是:可靠性高、精确度高、体积微小、性能稳定、符合使用环境条件等。电子元器件总的发展趋向是:集成化、微型化、提高性能、改进结构。常用元器件简介:电子整机是由一系列电子元器件所组成。掌握常用元器件的正

集成电路制造技术-原理与技术试题库

填空题(30分=1分*30)(只是答案) 半导体级硅 、 GSG 、 电子级硅 。CZ 法 、 区熔法、 硅锭 、wafer 、硅 、锗、单晶生长、整型、切片、磨片倒角、刻蚀、(抛光)、清洗、检查和包装。 100 、110 和111 。融化了的半导体级硅液体、有正确晶向的、被掺杂成p 型或n 型、 实现均匀掺杂的同时并且复制仔晶的结构,得到合适的硅锭直径并且限制杂质引入到硅中 、拉伸速率 、晶体旋转速率 。 去掉两端、径向研磨、硅片定位边和定位槽。 制备工业硅、生长硅单晶、 提纯) 。卧式炉 、立式炉 、快速热处理炉 。干氧氧化、湿氧氧化、水汽氧化。工艺腔、硅片传输系统、气体分配系统、尾气系统、温控系统。 局部氧化LOCOS 、浅槽隔离STI 。 掺杂阻挡、表面钝化、场氧化层和金属层间介质。热生长 、淀积 、薄膜 。石英工艺腔、加热器、石英舟。 APCVD 常压化学气相淀积、LPCVD 低压化学气相淀积、PECVD 等离子体增强化学气相淀积。晶核形成、聚焦成束 、汇聚成膜。同质外延、异质外延。膜应力、电短路、诱生电荷。导电率、高黏附性、淀积 、平坦化、可靠性、抗腐蚀性、应力等。CMP 设备 、电机电流终点检测、光学终点检测。平滑、部分平坦化、局部平坦化、全局平坦化。 磨料、压力。使硅片表面和石英掩膜版对准并聚焦,包括图形);(通过对光刻胶曝光,把高分辨率的投影掩膜版上图形复制到硅片上);(在单位时间内生产出足够多的符合产品质量规格的硅片)。化学作用、物理作用、化学作用与物理作用混合。介质、金属 。在涂胶的硅片上正确地复制 掩膜图形。 被刻蚀图形的侧壁形状、各向同性、各向异性。气相、液相、 固相扩散。间隙式扩散机制、替代式扩散机制、激活杂质后。一种物质在另一种物质中的运动、一种材料的浓度必须高于另一种材料的浓度 )和( 系统内必须有足够的能量使高浓度的材料进入或通过另一种材料。 热扩散 、离子注入。预淀积 、推进、激活。时间、温度 。扩散区、光刻区 、刻蚀区、注入区、薄膜区、抛光区。硅片制造备 )、( 硅片制造 )、硅片测试和拣选、( 装配和封装 、终测。 微芯片。第一层层间介质氧化物淀积、氧化物磨抛、第十层掩模、第一层层间介质刻蚀。 钛淀积阻挡层、氮化钛淀积、钨淀积 、磨抛钨。 1. 常用的半导体材料为何选择硅?(6分) (1)硅的丰裕度。硅是地球上第二丰富的元素,占地壳成分的25%;经合理加工,硅能够提纯到半导体制造所需的足够高的纯度而消耗更低的成本; (2)更高的熔化温度允许更宽的工艺容限。硅1412℃>锗937℃ (3)更宽的工作温度。用硅制造的半导体件可以用于比锗更宽的温度范围,增加了半导体的应用范围和可靠性; (4)氧化硅的自然生成。氧化硅是一种高质量、稳定的电绝缘材料,而且能充当优质的化学阻挡层以保护硅不受外部沾污;氧化硅具有与硅类似的机械特性,允许高温工艺而不会产生过度的硅片翘曲; 2. 晶圆的英文是什么?简述晶圆制备的九个工艺步骤。(6分) Wafer 。 (1) 单晶硅生长: 晶体生长是把半导体级硅的多晶硅块转换成一块大的单晶硅。生长后的单晶硅被称为硅锭。可用CZ 法或区熔法。 (2) 整型。去掉两端,径向研磨,硅片定位边或定位槽。 (3) 切片。对200mm 及以上硅片而言,一般使用内圆切割 机;对300mm 硅片来讲都使用线锯。 (4) 磨片和倒角。切片完成后,传统上要进行双面的机械磨片以去除切片时留下的损伤,达到硅片两面高度的平行及平坦。硅片边缘抛光修整,又叫倒角,可使硅片边缘获得平滑的半径周线。 (5) 刻蚀。在刻蚀工艺中,通常要腐蚀掉硅片表面约20微米的硅以保证所有的损伤都被去掉。 (6) 抛光。也叫化学机械平坦化(CMP ),它的目标是高平整度的光滑表面。抛光分为单面抛光和双面抛光。 (7) 清洗。半导体硅片必须被清洗使得在发给芯片制造厂之前达到超净的洁净状态。 (8) 硅片评估。 (9) 包装。 3. 硅锭直径从20世纪50年代初期的不到25mm 增加到现在的300mm 甚至更大,其原因是什么?(6分) (1) 更大直径硅片有更大的表面积做芯片,能够减少硅片的浪费。 (2) 每个硅片上有更多的芯片,每块芯片的加工和处理时间减少,导致设备生产效率变高。 (3) 在硅片边缘的芯片减少了,转化为更高的生产成品率。 (4) 在同一工艺过程中有更多芯片,所以在一块芯片一块芯片的处理过程中,设备的重复利用率提高了。 氧化 4.立式炉出现的主要原因,其主要控制系统分为哪五个部分?(6分) (1) 立式炉更易于自动化、可改善操作者的安全以及减少颗粒污染。与卧式炉相比可更好地控制温度和均匀性。 (2) 工艺腔,硅片传输系统,气体分配系统,尾气系统,温控系统。 5.试写出光刻工艺的基本步骤。(6分) (1)气相成底膜;(2)旋转涂胶;(3)软烘 ;(4)对准和曝光;( 5)曝光后烘焙(PEB); (6) 显影; (7)坚膜烘焙; (8)显影检查。 4. 已知曝光的波长 为365nm ,光学系统的数值孔径NA 为0.60,则该光学系统的焦深DOF 为多少?(6分) 5. 简述扩散工艺的概念。(6分) 扩散是物质的一个基本属性,描述了一种物质在另一种物质中运动的情况。扩散的发生需要两个必要的条件:(1)一种材料的浓度必须高于另一种材料的浓度;(2)系统内必须有足够的能量使高浓度的材料进入或通过另一种材料。 气相扩散:空气清新剂喷雾罐 液相扩散:一滴墨水滴入一杯清水 固相扩散:晶圆暴露接触一定浓度的杂质原子(半导体掺杂工艺的一种) 6. 名词解释:离子注入。(6分) 离子注入是一种向硅衬底中引入可控制数量的杂质,以改变其电学性能的方法。它是一个物理过程,即不发生化学反应。离子注入在现代硅片制造过程中有广泛应用,其中最主要的用途是掺杂半导体材料。 四、综合题:(30分=15分*2,20题)2题/章 1. 对下图所示的工艺进行描述,并写出工艺的主要步骤。(15分) 描述:图示工艺:选择性氧化的浅槽隔离(STI )技术。(用于亚0.25微米工艺) STI 技术中的主要绝缘材料是淀积氧化物。选择性氧化利用掩膜来完成,通常是氮化硅,只要氮化硅膜足够厚,覆盖了氮化硅的硅表面就不会氧化。掩膜经过淀积、图形化、刻蚀后形成槽。 在掩膜图形曝露的区域,热氧化150~200埃厚的氧化物后,才能进行沟槽填充。这种热生长的氧化物使硅表面钝化,并且可以使浅槽填充的淀积氧化物和硅相互隔离,它还能作为有效的阻挡层,避免器件中的侧墙漏电流产生。 步骤:1氮化硅淀积 2氮化硅掩蔽与刻蚀 3侧墙氧化与沟槽填充 4氧化硅的平坦化(CMP) 5氮化硅去除。 浅槽隔离(STI)的剖面 2. 识别下图所示工艺,写出每个步骤名称并进行描述,对其特有现象进行描述。(15分) 答:一 )此为选择性氧化的局部氧化LOCOS (0.25微米以 上的工艺 ) 二 )步骤名称及描述: 1 氮化硅淀积。 2 氮化硅掩蔽与刻蚀 3 硅的局部氧化 LOCOS 场氧化层的剖面 4 氮化硅去除 用淀积氮化物膜作为氧化阻挡层,因为淀积在硅上的氮化物 不能被氧化,所以刻蚀后的区域可用来选择性氧化生长。热 氧化后,氮化物和任何掩膜下的氧化物都将被除去,露出赤 裸的硅表面,为形成器件作准备。 三)特有现象描述:当氧扩散穿越已生长的氧化物时,它是 在各个方向上扩散的(各向同性)。 一些氧原子纵向扩散进入硅,另一些氧原子横向扩散。这意 味着在氮化物掩膜下有着轻微的侧面氧化生长。由于氧化层 比消耗的硅更厚,所以在氮化物掩膜下的氧化生长将抬高氮 化物的边缘,我们称为“鸟嘴效应” 金属化 3. 按照下图,解释化学机械平坦化工艺。(15分) CMP 是一种表面全局平坦化的技术,它通过硅片和一个抛光 头之间的相对运动来平坦化硅片表面,在硅片和抛光头之间 有磨料,并同时施加压力。CMP 设备——抛光机 光刻 4. 识别下图所示工艺,写出每个步骤名称并进行描述。 (15分) 答:1 气相成底膜:清洗、脱水,脱水烘焙后立即用HMDS 进行成膜处理,起到粘附促进剂的作用。 2 采用旋转涂胶的方法涂上液相光刻胶材料。 3 软烘:其目的是除去光刻胶中的溶剂。 4 对准和曝光:掩模板与涂了胶的硅片上的正确位置对准。然后将掩模板和硅片曝光。 5 曝光后烘焙:深紫外(DUV )光刻胶在100-110℃的热板上进行曝光后烘焙。 6 显影:是在硅片表面光刻胶中产生图形的关键步骤。 7 坚模烘焙:要求会发掉存留的光刻胶溶剂,提高光刻胶对硅片表面的粘附性。 8 显影后检查:目的是找出光刻胶有质量问题的硅片,描述光刻胶工艺性能以满足规范要求。 刻蚀 5. 等离子体干法刻蚀系统的主要部件有哪性?试举出三种主要类型,并对圆筒式等离子体刻蚀机作出介绍。(15分) 答:一个等离子体干法刻蚀系统的基本部件包括:(1)发生刻蚀反应的反应腔;(2)产生等离子体的射频电源;(3)气体流量控制系统;(4)去除刻蚀生成物和气体的真空系统。 圆桶式反应器是圆柱形的,在0.1~1托压力下具有几乎完全相同的化学各向同性刻蚀。硅片垂直、小间距地装在一个石英舟上。射频功率加在圆柱两边的电极上。通常有一个打孔的金属圆柱形刻蚀隧道,它把等离子体限制在刻蚀隧道和腔壁之间的外部区域。硅片与电场平行放置使物理刻蚀最小。等离子体中的刻蚀基扩散到刻蚀隧道内,而等离子体中的带能离子和电子没有进入这一区域。 这种刻蚀是具有各向同性和高选择比的纯化学过程。因为在硅片表面没有物理的轰击,因而它具有最小的等离子体诱导损伤。圆桶式等离子体反应器主要用于硅片表面的去胶。氧是去胶的主要刻蚀机。 离子注入 6. 对下图中的设备进行介绍,并对其所属的工艺进行描述。(15分) 离子注入工艺在离子注入机内进行,它是半导体工艺中最复杂的设备之一。离子注入机包含离子源部分,它能从原材料中产生带正电荷的杂质离子。离子被吸出,然后用质量分析仪将它们分开以形成需要掺杂离子的束流。束流中的离子数量与希望引入硅片的杂质浓度有关。离子束在电场中加速,获得很高的速度(107cm/s 数量级),使离子有足够的动能注入到硅片的晶格结构中。束流扫描整个硅片,使硅片表面均匀掺杂。注入之后的退火过程将激活晶格结构中的杂质离子。所有注入工艺都是在高真空下进行的。 离子注入设备包含以下5 个部分: (1)离子源;(2)引出电极(吸极)和离子分析器;(3)加速管;(4)扫描系统;(5)工艺室 离子注入是一种向硅衬底中引入可控制数量的杂质,以改变其电学性能的方法。它是一个物理过程,即不发生化学反应。离子注入在现代硅片制造过程中有广泛应用,其中最主要的用途是掺杂半导体材料。每一次掺杂对杂质的浓度和深度都有特定的要求。离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。它已经成为满足亚0.25μm 特征尺寸和大直径硅片制作要求的标准工艺。热扩散的5个问题对先进的电路生成的限制:(1)横向扩散(2)超浅结(3)粗劣的掺杂控制(4)表面污染的阻碍(5)错位的产生。 亚0.25μm 工艺的注入过程有两个主要目标: (1)向硅片中引入均匀、可控制数量的特定杂质。 (2)把杂质放置在希望的深度。 7.离子注入工艺的主要优缺点。(15分) 答:优点:(1)精确控制杂质含量。 (2)很好的杂质均匀性。(扫描方法) (3)对杂质穿透深度有很好的控制。(控制能量) (4)产生单一离子束。(质量分离技术) (5)低温工艺。(中等温度小于125℃,允许使用不同的光刻掩膜,包括光刻胶) (6)注入的离子能穿过薄膜。 (7)无固溶度极限。 缺点:(1)高能杂质离子轰击硅原子将对晶体结构产生损伤。当高能离子进入晶体并与衬底原子碰撞时,能量发生转移,一些晶格上的硅原子被取代,这个反应被称为辐射损伤。大多数甚至所有的的晶体损伤都能用高温退火进行修复。 (2)注入设备的复杂性。然而这一缺点被离子注入机对剂 量和深度的控制能力及整体工艺的灵活性弥补 7. 依照下图,对硅片制造厂的六个分区分别做一个简 短的描述,要求写出分区的主要功能、主要设备以及显著特 点。(15分) (1) (1)扩散区。扩散区一般认为是进行高温工艺及薄膜淀积的 区域。 主要设备:高温扩散炉:1200℃,能完成氧化、扩散、淀积、 退火以及合金等多种工艺流程。湿法清洗设备 。 (2) (2)光刻。把临时电路结构复制到以后要进行刻蚀和离子注 入的硅片上。 主要设备:涂胶/显影设备,步进光刻机。 (3) (3)刻蚀。用化学或物理方法有选择地从硅片表面去除不需 要材料,在硅片上没有光刻胶保护的地方留下永久的图形。 主要设备:等离子体刻蚀机,等离子去胶机,湿法清洗设备 。 (4)离子注入。主要功能是掺杂。 主要设备:离子注入机、等离子去胶机、湿法清洗设备 。

半导体制造技术

Semiconductor Manufacturing Technology 半导体制造技术 Instructor’s Manual Michael Quirk Julian Serda Copyright Prentice Hall

Table of Contents 目录 Overview I. Chapter 1. Semiconductor industry overview 2. Semiconductor materials 3. Device technologies—IC families 4. Silicon and wafer preparation 5. Chemicals in the industry 6. Contamination control 7. Process metrology 8. Process gas controls 9. IC fabrication overview 10. Oxidation 11. Deposition 12. Metallization 13. Photoresist 14. Exposure 15. Develop 16. Etch 17. Ion implant 18. Polish 19. Test 20. Assembly and packaging II. Answers to End-of-Chapter Review Questions III. Test Bank (supplied on diskette) IV. Chapter illustrations, tables, bulleted lists and major topics (supplied on CD-ROM) Notes to Instructors: 1)The chapter overview provides a concise summary of the main topics in each chapter. 2)The correct answer for each test bank question is highlighted in bold. Test bank questions are based on the end-of-chapter questions. If a student studies the end-of-chapter questions (which are linked to the italicized words in each chapter), then they will be successful on the test bank questions. 2

半导体制造技术题库答案

1.分别简述RVD和GILD的原理,它们的优缺点及应用方向。 快速气相掺杂(RVD, Rapid Vapor-phase Doping) 利用快速热处理过程(RTP)将处在掺杂剂气氛中的硅片快速均匀地加热至所需要的温度,同时掺杂剂发生反应产生杂质原子,杂质原子直接从气态转变为被硅表面吸附的固态,然后进行固相扩散,完成掺杂目的。 同普通扩散炉中的掺杂不同,快速气相掺杂在硅片表面上并未形成含有杂质的玻璃层;同离子注入相比(特别是在浅结的应用上),RVD技术的潜在优势是:它并不受注入所带来的一些效应的影响;对于选择扩散来说,采用快速气相掺杂工艺仍需要掩膜。另外,快速气相掺杂仍然要在较高的温度下完成。杂质分布是非理想的指数形式,类似固态扩散,其峰值处于表面处。 气体浸没激光掺杂(GILD: Gas Immersion Laser Doping) 用准分子激光器(308nm) 产生高能量密度(0.5—2.0J/cm2)的短脉冲(20-100ns)激光,照射处于气态源中的硅表面;硅表面因吸收能量而变为液体层;同时气态掺杂源由于热解或光解作用产生杂质原子;通过液相扩散,杂质原子进入这个很薄的液体层,溶解在液体层中的杂质扩散速度比在固体中高八个数量级以上,因而杂质快速并均匀地扩散到整个熔化层中。 当激光照射停止后,已经掺有杂质的液体层通过固相外延转变为固态结晶体。由液体变为固态结晶体的速度非常快。在结晶的同时,杂质也进入激活的晶格位置,不需要近一步退火过程,而且掺杂只发生在表面的一薄层内。 由于硅表面受高能激光照射的时间很短,而且能量又几乎都被表面吸收,硅体内仍处于低温状态,不会发生扩散现象,体内的杂质分布没有受到任何扰动。 硅表面溶化层的深度由激光束的能量和脉冲时间所决定。因此,可根据需要控制激光能量密度和脉冲时间达到控制掺杂深度的目的。 2.集成电路制造中有哪几种常见的扩散工艺?各有什么优缺点? 扩散工艺分类:按原始杂质源在室温下的相态分类,可分为固态源扩散,液态源扩散和气态源扩散。 固态源扩散 (1). 开管扩散优点:开管扩散的重复性和稳定性都很好。 (2). 箱法扩散优点;箱法扩散的硅表面浓度基本由扩散温度下杂质在硅中的固溶度决 定,均匀性较好。 (3). 涂源法扩散缺点:这种扩散方法的表面浓度很难控制,而且又不均匀。 (4). 杂质源也可以采用化学气相淀积法淀积,这种方法的均匀性、重复性都很好,还可以把 片子排列很密,从而提高生产效率,其缺点是多了一道工序。 液态源扩散液态源扩散优点:系统简单,操作方便,成本低,效率高,重复性和均匀性都很好。扩散过程中应准确控制炉温、扩散时间、气体流量和源温等。源瓶的密封性要好,扩散系统不能漏气。 气态源扩散气态杂质源多为杂质的氢化物或者卤化物,这些气体的毒性很大,且易燃易爆,操作上要十分小心。 快速气相掺杂(RVD) 气体浸没激光掺杂(GILD)

半导体制造技术总结

第一章 2、列出20世纪上半叶对半导体产业发展做出贡献的4种不同产业。P2 答:真空管电子学、无线电通信、机械制表机及固体物理. 3、什么时间、什么地点、由谁发明了固体晶体管?P3 答:1947年12月16日在贝尔电话实验室由威廉·肖克利、约翰·巴丁和沃尔特·布拉顿发明了固体晶体管. 5、列出5个集成时代,指出每个时代的时间段,并给出每个时代每个芯片上的元件数.P4 6、什么是硅片?什么是衬底?什么是芯片? 答:芯片也称为管芯(单数和复数芯片或集成电路),硅圆片通常被称为衬底 8、列出集成电路制造的5个重要步骤,简要描述每个步骤.P4 10、列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势.P8 11、什么是芯片的关键尺寸?这种尺寸为何重要?P9 13、什么是摩尔定律?它预测了什么?这个定律正确吗?P10 14、自1947年以来靠什么因素使芯片价格降低?给出这种变化的两个原因. 16、描述硅片技师和设备技师的职责。P16 第三章 11.解释pn结反偏时发生的情况。P45 答:导致通过二极管的电流很小,甚至没有电流. 12.解释pn结正偏时发生的情况.P45 答:将一正偏施加于pn结,电路中n区电子从偏压电源负极被排斥。多余的电子从负极注入到充满空穴的p区,使n区中留下电子的空穴。同时,p区的空穴从偏压电源正极被排斥。由偏压电源正极提供的空穴中和由偏压电源负极提供的电子。空穴和电子在结区复合以及克服势垒电压大大的减小了阻止电流的行为。只要偏压对二极管能维持一个固定的空穴和电子注入,电流就将持续的通过电路. 13.双极晶体管有多少个电极、结和类型?电极的名称分别是什么?类型名称分别是什么?P46 答:有三电极和两个pn结、两种类型。电极名称:发射极、基极、集电极.类型名称:pnp、npn. 16.BJT是什么类型的放大器器件?它是怎么根据能量要求影响它的应用的?P47 答:驱动电流的电流放大器件.发射极和集电极都是n型的重掺杂,比如砷或磷。基极是p型杂质硼的轻掺杂。基极载流子减少,基极吸引的电流将明显地比集电极吸引的电流小。这种差别说明了晶体管从输入到输出电流的增益。晶体管能线性地将小的输入信号放大几百倍来驱动输出器件。 18.双极技术有什么显著特征?双极技术的最大缺陷是什么?P48 答:高速、耐久性、功率控制能力。缺陷:功耗高。 19。场效应晶体管(FET)有什么优点?P49 答:利于提高集成度和节省电能。 22.FET的最大优势是什么?P49 答:低电压和低功耗。 25.FET的两种基本类型是什么?他们之间的主要区别是什么?P50 答:结型(JFET)和金属-氧化物型(MOSFET)半导体。区别是:MOSFET作为场效应晶体管输入端的栅极由一层薄介质与晶体管的其他两极绝缘.JFET的栅极实际上同晶体管其他电极形成物理的pn结。 26.MOSFET有哪两种类型?它们怎么区分?P50 答:nMOS(n沟道)和pMOS(p沟道)。每种类型可由各自器件的多数载流子来区分。 第四章 1。列举得到半导体级硅的三个步骤.半导体级硅有多纯?P64 4.描述非晶材料。为什么这种硅不能用于硅片?P65 9.为什么要用单晶进行硅片制造?P67 14。什么是CZ单晶生长法?P68 22。为什么要用区熔法生长硅晶体?P71 23。描述区熔法。P71 25.给出更大直径硅片的三大好处。P72 26。什么是晶体缺陷?P73 37.在直径为200mm及以上硅片中切片是怎么进行的?P77 41。为什么要对硅片表面进行化学机械平坦化?P78 43。列举硅片的7种质量要求。P79 第五章 1.什么是物质的四种形态?试分别描述之。P87 6.描述三种温标,哪一种是科学工作中最常用的温标?P 89 8。给出真空的定义。什么是最常用的真空单位,它是怎么定义的?P91 9.给出冷凝和蒸发的定义。吸收和吸附之间有什么不同?P91-92 11.给出升华和凝华的定义。P92 13.什么是表面张力?P93 14。给出材料的热膨胀系数P94。 20。什么是酸?列出在硅片厂中常用的三种酸。P9521.什么是碱?列出在硅片厂中常用的三种碱。P96 23.什么是溶剂?列出在硅片厂中常用的三种溶剂。P97 24。描述在硅片厂中使用的去离子水的概念.P97 31.什么是处理特殊气体所面临的最大挑战?P99 38.描述三种特殊气体并分别举例。P101

半导体制造技术必看考点

1、问答题说明影响氧化速率的因素。 2、问答题个投影曝光系统采用ArF光源,数值孔径为0.6,设 k1=0.6,n=0.5,计算其理论分辨率和焦深。 3、填空题研究细胞结构和功能异常与疾病关系的细胞生物学分支称为()。 4、问答题什么是扩散效应?什么是自掺杂效应?这两个效应使得衬底/外延界面杂质分布有怎样的变化?

5、问答题说明SiO2的结构和性质,并简述结晶型SiO2和无定形SiO2的区别。 6、问答题典型的光刻工艺主要有哪几步?简述各步骤的作用。 7、问答题什么是溅射产额,其影响因素有哪些?简述这些因素对溅射产额产生的影响。 8、问答题分别简述RVD和GILD的原理,它们的优缺点及应用方向。

9、问答题简述常规热氧化办法制备SiO2介质薄膜的动力学过程,并说明在什么情况下氧化过程由反应控制或扩散控制。 10、问答题 下图为一个典型的离子注入系统。(1)给出1~6数字标识部分的名称,简述其作用。(2)阐述部件2的工作原理。 11、问答题 采用CF4作为气体源对SiO2进行刻蚀,在进气中分别加入O2或H2对刻蚀速率有什么影响?随着O2或H2进气量的增加,对Si和SiO2刻蚀选择性怎样变化?为什么?

12、问答题离子在靶内运动时,损失能量可分核阻滞和电子阻滞,解释什么是核阻滞、电子阻滞?两种阻滞本领与注入离子能量具有何关系? 13、问答题 下图是硅烷反应淀积多晶硅的过程,写出发生反应的方程式,并简述其中1~5各步的含义。 14、问答题MEMSSi加工工艺主要分为哪两类,它们最基本的区别是什么? 15、问答题什么是光刻中常见的表面反射和驻波效应?如何解决?

半导体工艺半导体制造工艺试题库1 答案

一、填空题(每空1分,计31分) 1、工艺上用于四氯化硅的提纯方法有 吸附法 和 精馏法 。 2、在晶片表面图形形成过程中,一般通过腐蚀的方法将抗蚀膜图形转移到晶片上,腐蚀的方法有 湿法腐蚀 和 干法腐蚀 。 3、直拉法制备单晶硅的过程是:清洁处理——装炉——加热融化——拉晶,其中拉晶是最主要的工序,拉晶包括 下种 、 缩颈 、放肩、 等径生长 和收尾拉光等过程。 3、抛光是晶片表面主要的精细加工过程,抛光的主要方式有 化学抛光 、 机械抛光 和 化学机械抛光 。 4、掺杂技术包括有 热扩散 、 离子注入 、合金和中子嬗变等多种方法。 5、晶片中的锂、钠、钾等碱金属杂质,通常以 间隙式 (空位式或间隙式)扩散方式在晶片内部扩散,并且这类杂质通常称为 快扩散 (快扩散或慢扩散)杂质。 6、在有限表面源扩散中,其扩散后的杂质浓度分布函数符合 高斯分布函数 ; 而在恒定表面源扩散中,其扩散后的杂质浓度分布函数符合 余误差分布函数 。 7、在离子注入法的掺杂过程中,注入离子在非晶靶中的浓度分布函数满足对称的高斯分布,其浓度最大位于 R P 处。 8、在离子注入后,通常采用退火措施,可以消除由注入所产生的晶格损伤,常用的退火方式有 电子束退火 、 离子束退火 、 激光退火 。 9、根据分凝现象,若K 0>1,则分凝后杂质集中在 尾部 (头部或尾部);若K 0<1,则杂质分凝后集中在 头部 (同上)。 10、把硅片置于氯化氢和氧气的混合气体中进行的氧化,称为 掺氯氧化 。 11、在二氧化硅的热氧化方法中,氧化速度最快的是 干氧氧化 方法。 12、氢氧合成氧化设备中,两个重要的保险装置是 氢气流量保险装置 和 温度保险装置 。 13、工艺中常用的测量二氧化硅厚度的方法有 比色法 和 椭圆偏振光法 。 14、固态源硼扩散中常用的硼源是 氮化硼 ,常用的液态磷源是 三氯氧磷 。 15、箱法扩散在工艺中重要用来进行TTL 电路 隐埋层 的锑扩散。 二、选择题(每题2分,单项多项均有,计12分) 1、 在SiO 2网络中,如果掺入了磷元素,能使网络结构变得更( A ) (A )疏松 (B )紧密 (C )视磷元素剂量而言 2、 在微电子加工环境中,进入洁净区的工作人员必须注意以下事项(A 、B 、C 、D ) (A ) 进入洁净区要先穿戴好专用净化工作服、鞋、帽。 (B ) 进入洁净区前先在风淋室风淋30秒,然后才能进入。 (C ) 每周洗工作服,洗澡、理发、剪指甲,不用化妆品。 (D ) 与工作无关的纸张、书报等杂物不得带入。 3、离子注入设备的组成部分有(A 、B 、C 、D ) (A )离子源 (B )质量分析器 (C )扫描器 (D )电子蔟射器 4、CVD 淀积法的特点有(A 、C 、D ) (A )淀积温度比较低 (B )吸附不会影响淀积速度 (C )淀积材料可以直接淀积在单晶基片上 (D )样品本身不参与化学反应 5、 工艺中消除沟道效应的措施有(A 、B 、C 、D ) (A )增大注入剂量 (B )增大注入速度 (C )增加靶温 (D )通过淀积膜注入 6、液态源硼扩散所选用的硼源有(A 、B 、C ) (A )硼酸三甲脂 (B )硼酸三丙脂 (C )三溴化硼 (D )三氯氧磷 三、判断(每题1分,计10分) 1、Ⅰ号液是碱性过氧化氢清洗液。 ( R ) 2、筛选器是用来去除杂质离子的设备。 ( R ) 3、石墨基座的清洁处理,首先用王水煮沸,再用去离子水冲洗。 ( R ) 4、注入窗口中淀积的二氧化硅薄层是起退沟道的作用。 ( R ) 5、以一般能量注入的重离子,在进入靶片中,以电子阻挡为主。 ( F ) 6、硅烷热分解法淀积中,一旦源变成黄色就不能使用。 ( R ) 7、在二氧化硅氧化膜中,可动钠离子含量要求越高越好。 ( F ) 8、二氧化硅中的宏观缺陷是指用肉眼可以直接观察到的缺陷。 ( R ) 9、氮化硼(BN )是常用的固态硼杂质扩散源。 ( R ) 10、用四探针法可以测试扩散后的结深。 ( R ) 四、名词解释(每题5分,计20分) 1、杂质分凝 答:杂质在晶体中有一定分布,在固态中和液态中的分布又不一样,在晶体提纯时,利用杂质在晶体固态和液态的分布不一样,进行提纯,将杂质集中在晶体的头部或尾部,达到提纯的 装 订 班级 姓名 学号 成绩 - 学年第 学期 半导 第 学期 半导体制造工艺 半 导体制造工艺

硅工艺-《集成电路制造技术》课程-试题

晶圆制备 1.用来做芯片的高纯硅被称为(半导体级硅),英文简称(GSG ),有时也被称为(电子级硅)。 2.单晶硅生长常用(CZ法)和(区熔法)两种生长方式,生长后的单晶硅被称为(硅锭)。 3.晶圆的英文是(wafer ),其常用的材料是(硅)和(锗)。 4.晶圆制备的九个工艺步骤分别是整型、定向、标识。 5.从半导体制造来讲,晶圆中用的最广的晶体平面的密勒符号是(100 )、(110 )和(111)。 6.CZ直拉法生长单晶硅是把(融化了的半导体级硅液体)变为(有确定晶向的)并且(被掺杂成p型或n型)的固体硅锭。 7.CZ直拉法的目的是(实现均匀掺杂的同时,并且复制仔晶的结构,得到合适的硅锭直径)。影响CZ直拉法的两个主要参数是(拉伸速率)和(晶体旋转速率)。 8.晶圆制备中的整型处理包括(去掉两端)、(径向研磨)和(硅片定位边和定位槽)。 9.制备半导体级硅的过程:1(制备工业硅);2(生长硅单晶);3(提纯)。 10.晶片需要经过切片、磨片、抛光后,得到所需晶圆。 氧化 10.二氧化硅按结构可分为()和()或()。 11.热氧化工艺的基本设备有三种:(卧式炉)、(立式炉)和(快速热处理炉)。 12.根据氧化剂的不同,热氧化可分为(干氧氧化)、(湿氧氧化)和(水汽氧化)。 13.用于热氧化工艺的立式炉的主要控制系统分为五部分:(工艺腔)、(硅片传输系统)、气体分配系统、尾气系统和(温控系统)。 14.选择性氧化常见的有(局部氧化)和(浅槽隔离),其英语缩略语分别为LOCOS和(STI )。 15.列出热氧化物在硅片制造的4种用途:(掺杂阻挡)、(表面钝化)、场氧化层和(金属层间介质)。 16.可在高温设备中进行的五种工艺分别是(氧化)、(扩散)、(蒸发)、退火和合金。 17.硅片上的氧化物主要通过(热生长)和(淀积)的方法产生,由于硅片表面非常平整,使得产生的氧化物主要为层状结构,所以又称为(薄膜)。 18.卧式炉的工艺腔或炉管是对硅片加热的场所,它由平卧的(石英工艺腔)、(加热器)和(石英舟)组成。淀积 19.目前常用的CVD系统有:(APCVD )、(LPCVD )和(PECVD )。 20.淀积膜的过程有三个不同的阶段。第一步是(晶核形成),第二步是(聚焦成束),第三步是(汇聚成膜)。21.缩略语PECVD、LPCVD、HDPCVD和APCVD的中文名称分别是(等离子体增强化学气相淀积)、(低压化学气相淀积)、高密度等离子体化学气相淀积、和(常压化学气相淀积)。 22.在外延工艺中,如果膜和衬底材料(相同),例如硅衬底上长硅膜,这样的膜生长称为(同质外延);反之,膜和衬底材料不一致的情况,例如硅衬底上长氧化铝,则称为(异质外延)。 23.化学气相淀积是通过()的化学反应在硅片表面淀积一层()的工艺。硅片表面及其邻近的区域被()来向反应系统提供附加的能量。 金属化 24.金属按其在集成电路工艺中所起的作用,可划分为三大类:()、()和()。 25.气体直流辉光放电分为四个区,分别是:无光放电区、汤生放电区、辉光放电区和电弧放电区。其中辉光放电区包括前期辉光放电区、()和(),则溅射区域选择在()。 26.集成电路工艺中利用溅射现象主要用来(),还可以用来()。 27.对芯片互连的金属和金属合金来说,它所必备一些要求是:(导电率)、高黏附性、(淀积)、(平坦化)、可靠性、抗腐蚀性、应力等。 28.在半导体制造业中,最早的互连金属是(铝),在硅片制造业中最普通的互连金属是(铜),。 29.写出三种半导体制造业的金属和合金(Al )、(Cu )和(铝铜合金)。 30.阻挡层金属是一类具有(高熔点)的难熔金属,金属铝和铜的阻挡层金属分别是(W )和(W )。 31.被用于传统和双大马士革金属化的不同金属淀积系统是:()、()、()和铜电镀。 32.溅射主要是一个()过程,而非化学过程。在溅射过程中,()撞击具有高纯度的靶材料固体平板,按物理过程撞击出原子。这些被撞击出的原子穿过(),最后淀积在硅片上。 平坦化 33.缩略语PSG、BPSG的中文名称分别是()、()。 34.列举硅片制造中用到CMP的几个例子:()、LI氧化硅抛光、()、()、钨塞抛光和双大马士革铜抛光。 35.终点检测是指(CMP设备)的一种检测到平坦化工艺把材料磨到一个正确厚度的能力。两种最常用的原位终点检测技术是(电机电流终点检测)和(光学终点检测)。 36.硅片平坦化的四种类型分别是(平滑)、部分平坦化、(局部平坦化)和(全局平坦化)。 37.传统的平坦化技术有()、()和()。

相关文档
最新文档