基于msp430F149的多功能计数器

基于msp430F149的多功能计数器
基于msp430F149的多功能计数器

基于msp430F149的多功能计数器

摘要 本文设计了一种以超低功耗单片机MSP430F149为控制器,以高速的FPGA (现场可编

程门阵列)实现等精度测量正弦信号的频率、周期和相位差的多功能计数器。在设计中依据等精度计数原理,应用单片机的数学运算和控制功能,利用f=1/T 实现了频率和周期的统一处理;采用相位-时间转换方法,根据??0/360f f N =?? 完成了相位差测量。此外,利用外加模拟通道,实现了对正弦波小信号的预处理,使得该计数器能够在较宽的频率范围和幅度范围内进行测量。

关键词 计数器 MSP430F149单片机 FPGA 等精度

一、系统方案

方案1:采用中小规模数字电路构成系统,由计数器构成主要的测量模块。用定时器组成主要的控制电路。此方案软件设计简单,但外围芯片过多,且频带窄,实现起来较复杂,功能不强,而且不能程控和扩展。

方案2:采用单片机实现。被测信号经调理后送入单片机,利用其内部的计数器完成计数,然后再进行数据处理和显示,但单片机在处理高速信号时略显吃力。

方案3:利用FPGA 对调理后的被测信号实现高速计数,单片机软件执行高精度浮点数运算并显示。单片机完成系统的数据处理、逻辑控制和人机交互功能;大规模现场可编程器件

方案比较与选择:方案1 采用中小规模集成电路来实现,系统电路较复杂,扩展性能差;方案2用外围电路配合单片机实现测量功能,信号频率比较高时需外加分频电路,影响测量精度和系统稳定性,且单片机任务繁重,给软件设计和调试工作带来不便;方案3用一片高度集成的可编程逻辑器件可完成有关电路所有模块的设计,大大降低了电路复杂度,减少引线信号间的干扰,提高电路的可靠性和稳定性。加上单片机控制,应用单片机的数学运算和控制功能,辅以有效的软件滤波算法,能够进一步提高测量精度,且控制灵活、易于扩展和调试简单,能够达到题目要求。故本设计采用方案3,系统框图如图1所示。

二、理论分析与计算 1、频率和周期测量方法分析

由于频率和周期之间存在倒数关系(f=1/T),所以只要测得两者中的一个,另一个可通过计算求得。

1)直接测量法 对测频在低频端1Hz 时,若闸门时间为1s ,其 1量化误差大到100%。为了满足测试精度的要求,显然不能采用直接测量法;

2)直接与间接测量相结合的方法 需对被测频率和中界频率的关系进行判断,在中界频率附近仍不能达到较高的测量精度;

3)等精度测量法 图2为等精度测频、测周原理方框图。

图2 等精度测频原理图

设在一次预置门时间p T 中对被测信号计数值为x N ,对标准频率信号计数值为s N ,有

x x s c N T N T = (1)

于是 x

x c S

N

f f N =

(2)

对式(2)两边微分得其测频相对误差为

2(

)x S

c

x x x c c s x

x c S

s

s

f N f N N N f f N f N f N N N

=+

-

= 1c c

p c

f f T f ±

(3)

由式(3)可知,测频误差仅取决于标准信号频率c f 和预置闸门宽度p T ,增大p T 或提高

c f ,可以减少误差,提高测量精度。因为闸门实际开放时间等于被测信号周期整数倍,消

除了被测信号计数值±1量化误差,所以测频误差与被测信号频率无关。

若标准信号频率c f 为50MHz ,闸门时间p T 取1s

,7

10c c f f -< ,则测频、测周相对误差

7

10

x

x

f f -< ,满足题目要求的性能指标,因此本设计采用等精度法测频和测周。

2 相位差测量方法分析

相位差的测量有很多种方法,如相位—电压转换法、数值取样法和相位差—时间转换法等。其中相位差—时间转换法实际上是测量两个正弦信号波形上两个相应点之间的时间间隔t ,若两被测信号周期均为T ,则时间间隔t 对应的相位差为: 360

x

t T

?=

?

(4)

如果只测量一个周期的t 和T ,无法满足宽频带被测信号的测量精度。如果时间间隔t 和周期T 测量值比较准确,再通过单片机对实测数据进行高精度浮点数运算及误差修正,就可以达到精度要求。按照这一思路,结合上面对等精度测频、测周原理及误差的分析可知,此方法是切实可行的。

设门控信号的开启时间为t c

,计数值为N ,则 0c t N T = (5)

式中0T 为时标信号的周期,由(5)式和(6)式得

360

f N f ??=

??

(6)

式中f 为被测信号频率,10100Hz f kHz ≤≤,0f 为标准时基,N 为计数值。

3 宽带通道放大器分析

因为三极管放大电路参数选择复杂,低频特性不好,抗噪性能差。因此,设计中采用了宽频带、低噪声、高输人阻抗的运算放大器组成高精度放大电路,以获得良好的频率特性和抗干扰能力。输入通道中的放大级设计主要考虑增益和带宽的指标。题目要求能够

测量信号幅度峰值范围约为14mv ~7.07v 。这个范围是比较宽的,既涉及到小信号放大,又要考虑比较器输入电压限制问题,因此,应根据信号幅度大小分段选择放大器的增益。还有就是要满足运放增益带宽积的要求。

三、电路与程序设计

1 输入通道电路设计

待测频率信号由于衰减、传输干扰等原因,不能直接用于测量,需要经过处理后才能

适合逻辑控制器的输入。输入通道组成框图如图3所示。

图3 输入通道组成框图 图4 放大电路原理

输入信号经电压跟随器缓冲后进入放大通路,灵敏度控制采用单片机控制继电器的通断来选择不同的放大倍数,被放大的信号经比较器输出与被测信号同频率的方波计数信号若要测相位差,则同频信号经放大后进入移相网络,然后经过零比较得到移相后的计数信号。比较器采用滞回接法,详细电路见附录一,从而避免了过零点信号的毛刺造成整形信

号的误翻转。其中放大电路基本原理如图4所示,其中Rf 为反馈电阻,R 为输入端电阻,有

U o = - Ui × ( Rf / R ) (7)

由式(7)知,调节Rf 的大小即可调整电路的增益。

移相网络电路如图5所示。

图5 移相网络电路图

对图5电路分析知,两级网络的振幅特性均为()1A ω=,前级网络相频特性为

()a r c t ()g r c ?ωω=-,可见此为超前网络;后级网络相频特性为()arct ()g rc ?ωπω=-,所

以相位发生滞后,通过改变阻值r 即可实现相移量调整。两级级联后移相范围为0360 。 2 FPGA 功能实现模块

FPGA 实现部分主要分为信号同步处理,等精度计数和数据处理及传输3部分,如图6所示。FPGA 采用Altera 公司的EP2C5Q208C8,经分析知能够实现题目要求。

(1) 同步处理电路 待测信号从外时钟域进入,属于异步信号,对测量精度乃至整个系统的稳定都有很大的影响,在这里,先对输入信号进行一次采样进行消抖,滤去可能存在的毛刺, 然后进行一级同步处理,尽可能有效地对异步信号进行同步处理。

图6 FPGA功能实现框图

. (2)计数测量采用等精度法测频率,利用相位~时间转换法进行相位差测量,并将测得数据进行寄存。

(3)数据存储将得到的并行数据转换为串行数据再通过时序控制将数据写入双口RAM,然后单片机以其自身的时钟频率进行读取,从而有效地消除跨时钟域数据处理时所造成的不稳定影响。.

3 单片机控制模块

在本系统中,单片机采用MSP430F149,主要完成放大通路灵敏度选择、读取实测数据及处理和键盘与显示接口等三种功能。其中灵敏度选择是通过控制继电器的通断来实现通路切换;按键采用红外遥控方式,其中发射部分采用 PT2221,接收部分采用高灵敏度、高度集成的一种新型红外遥控接收光电模块HS0038,然后通过单片机直接软件解码处理,进而执行与之对应的中断服务子程序;因为要同时显示较多数据,所以我们采用字符型液晶显示。

4 主程序流程图

单片机系统主要流程图如图9所示,具体程序见附录三。

图9 主程序流程图

四、测试方案与测试结果

1.测试仪器

(1)任意波形/函数发生器(Tektronix AFG3022B)

(2)等精度通用计数器/相位计(Sample SP312B)

(3)双通道数字示波器(Tektronix TDS1002)

2.测试方法与步骤

本设计采用先分别进行子系统测试,待均测试成功后再将之组装成总体系统,仔细检查连接无误后通电进行总体功能和性能测试,并记录所测数据。

图14总体系统测试方案

测试条件:闸门时间为1s;

3主要测试结果及分析

测试结果:(1)本设计实现了对正弦信号的频率、周期和相位差的测量功能;

(2)性能方面能够基本达到基础部分误差要求;

测量误差主要来自

1.信号前级处理电路,由隔直电容和运放等集成芯片产生;

2.信号传输过程中的延时;

3.异步信号对fpga测量计数造成的影响;

4.等精度测量所产生的绝对误差随信号频率增大而被直接放大导致在对高频信号测量时出现大的数据局部不精确

五、结论

1、频率、周期测量误差达到5

10-;相位差测量准确度达到1度;能够实现小信号

10--6

测量;

2、由于本地时基的计数结果依然存在±l的计数误差,制约了频率和周期测量精度的提高。如果辅以模拟内插法,可以进一步提高测量精度。

六、参考文献

1、蒋焕文等,电子测量,北京:中国计量出版社,2008(第三版)

2、高吉祥编,电子仪器仪表设计,北京:电子工业出版社,2007

3、吴继华等,Altera FPGA及CPLD设计(高级篇),北京:人民邮电出版社,2005

4、曹磊编,MSP430单片机C程序设计与实践,北京:北京航空航天大学出版社2007

附录一系统原理图

附录二

数字电路仿真结果

P1.1

此图为QUARTUSII绘制的测试“等精度测量”的波形仿真文件限于版面原因在此取预置门控信号为: |out :2.5MHz (400ns), fin:待测信号频率,

|Out:待测信号经同步后的频率; data1和data2 为测量数据

P1.2

此图为测试“相位–时间”法的波形仿真文件

data_out 为测试数据ph1 和ph2 分别为两输入正弦波在整形后的方波信号

pout 即两方波信号异或后的波形.

表一频率测量

表二周期测量

表三相位差测量幅度1Vrms

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

设计60进制计数器 数电课程设计

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号:电气工程学院电自1418 刘科 20

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联 目录 第1章概述 (1) 计数器设计目的 (1) 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 74LS161的功能 (2)

方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 基本电路分析设计 (4) 计数器电路的仿真 (6) 第4章总结 (8)

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

数电课程设计38进制计数器

1.课程设计的目的 数字电子技术课程是电类专业的主要技术基础课。通过本课程的学习,能够使学生掌握近代数电理论的基础知识、电路分析与计算的基本方法,具备进行试验的初步技能,并为后续课程的学习打下必要的基础。 数字电子技术基础课程设计是学习数字电子技术基础课程之后的实践教学环节,是对课程理论和课程实验的综合和补充,其目的是训练学生综合运用学过的数字电子技术的基础知识。学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对数字电子技术课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。 2.设计方案论证 2.1 Multisim软件介绍 Multisim是加拿大Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。可以使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 功能: (1).直观的图形界面 整个操作界面就像一个电子实验室工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如何在真实一起上看到的。 (2).丰富的元器件

计算器课程设计报告

课设报告 福建工程学院软件学院 题目:汇编计算器 班级: 1301 姓名 学号: 指导老师: 日期:

目录 1、设计目的 (3) 2、概要设计 (3) 2.1 系统总体分析 (3) 2.2 主模块框图及说明 (3) 3、详细设计 (4) 3.1 主模块及子模块概述 (4) 3.2各模块详运算 (4) 4、程序调试 (7) 4.1 运行界面分析 (7) 算法分析 (7) 4.2 调试过程与分析 (9) 5、心得体会 (11) 5.1 设计体会 (11) 5.2 系统改进 (11) 附录: (11)

1、设计目的 本课程设计是一次程序设计方法及技能的基本训练,通过实际程序的开发及调试,巩固课堂上学到的关于程序设计的基本知识和基本方法,进一步熟悉汇编语言的结构特点和使用,达到能独立阅读、设计编写和调试具有一定规模的汇编程序的水平。 2、概要设计 用8086汇编语言编写一个能实现四则混合运算、带括号功能的整数计算器程序。程序能实现键盘十进制运算表达式的输入和显示(例如输入:“1+2*(3-4)”),按“=”后输出十进制表示的运算结果。 2.1 系统总体分析 在8086的操作环境下,该计算器分成输入,数据存储,运算功能,输出几个大模块,实现了使用者使用该计算器时输入一个算式,能让系统进行计算。此计算器的实现功能是基本的数学的四则运算,结果范围在0~65535。 2.2 主模块框图及说明 此流程图简要的表现出了所要实现的功能以及一些功能的大概算法,同时也是我编写的一个总体的框架。 程序流程图说明:通过流程图,可以看出程序运行时,首先输出提示语气,当用户输入后,程序根据所输入内容进行判断,通过判断的结果来决定调用哪个功能模块,首要先要要判断的是否为0-9,“+”“-”“*”“/”这些字符,若不是就会报错,实则根据运算符号调用其功能模块完成运算。最后将运算的结果显示在主频幕上,返回主程序,使用户可以重新输入。

多功能计数器

多功能计数器 发表时间:2009-09-01T16:15:59.403Z 来源:《企业技术开发(下半月)》2009年第2期供稿作者:董微,张晓晔,李超然(武汉大学电子信息学院,湖北武汉 43 [导读] 本系统采用AT89C52与FPGA为控制和数据处理中心,实现了正弦信号的高精度宽频带测量和相位的精确测量。作者简介:董微,武汉大学电子信息学院。 摘要:本系统采用AT89C52与FPGA为控制和数据处理中心,实现了正弦信号的高精度宽频带测量和相位的精确测量。整个系统由饱和放大部分、移相部分、过零比较部分组成,系统采用等精度法和测周法相结合的方法,实现了频率1Hz~35MHz、有效值2mv~5v的正弦信号频率的精确测量,并且通过计数法可以测量10Hz~100kHz正弦信号的相位移动。本系统通过128*64点阵液晶和3*8的键盘进行人机交互,操作简单,界面友好。 关键词:比较器;测周法;等精度法;计数法 1方案论证 1.1频率测量的方法 方案一:测周期法。即以待测信号为门限,用计数器记录在此门限内的高频标准时钟脉冲数,从而确定待测信号的频率。当选定高频时钟脉冲而被测信号频率较低时可以获得很高的精度。 方案二:等精度测频法。在人为设定的时间内,闸门的开启和闭合由被测信号的上升沿来控制,计数器真正开始计数的时刻不是预置闸门的开始时刻,而是预置闸门打开后被测信号的第一个上升沿到来的时刻;同样闸门的关闭时刻不是预置闸门的结束时刻,而是预置闸门关闭后被测信号的第一个上升沿到来的时刻,这种计数方法叫做同步计数法。 在测周期法中,虽然存在 1的计数误差,但对于低频信号来说,只要提高标准信号的频率,高精度还是比较容易实现的。在等精度测频法中,由于精确门的时间为被测信号的整数倍,故不存在误差,但是对于基准信号来说存在±1的误差,但由于的值本身就很大,系统可以满足很高的精度要求。在采用等精度法测量低频信号时,闸门时间必须很长,有时并不能达到系统要求。综上所述,本系统采用等精度测量和测周期法相结合的方法,其中等精度法测高频信号,测周期法测低频信号。 1.2相位测量技术 相位测量我们采用的是计数法。其基本思想是将相位转换成数字脉冲,然后对数字脉冲进行计数,分别通过对两路整形后的同频信号的异或输出与其中一路信号进行脉冲填充,通过两计数值之间的关系可以获得相位差。由于计数存在的误差,相位差很小时会产生较大误差,若利用等精度测量的方法,可以克服上述误差,达到很高的测量精度。此方法结合FPGA实现起来方便,精度可以达到很高。 2系统总体实现框图 信号进入系统,饱和放大到合适范围然后选择进入两路比较器,FPGA读取其输出信号即可测出频率,然后计算得到其周期,另一路信号直接进入相移网络和原始信号都比较成方波后进入FPGA测量相位差。 3系统软件的设计 本系统的软件部分由C语言和verilog HDL语言编写完成,前者由单片机运行完成实时显示,键值读取,数据处理等系统的主控功能;后者写入FPGA完成键盘扫描,频率的读取,相位差的测量,人机的交互以及少量的数据处理。参考文献: [1]马忠梅.单片机的C语言应用程序设计[M].北京:北京航空航天大学出版社,2008. [2]李朝青.单片机原理及接口技术[M].北京:北京航空航天大学出版社,2005. [3]夏宇闻.Verilog数字系统设计教程[M]. 北京:北京航空航天大学出版社,2008. [4]黄根春.电子设计教程[M].北京:电子工业出版社,2007.

多功能计时器-课程设计

信息工程学院课程设计报告书题目: 多功能计时器 专业:电子信息科学学技术 班级: 学号: 学生姓名: 指导教师: 2012 年 12 月 24 日

信息工程学院课程设计任务书 年月日

信息工程学院课程设计成绩评定表

摘要 此多功能计时器是基于741s48、74ls192、555定时器、CD40161设计的,由六个主要部分组成,即控制电路、秒脉冲发生器、计数器、译码显示器、置数电路以及声光报警电路,包含置数(00至99)、1s倒计时、开启、暂停、连续、清零以及到点声光报警等主要功能,也能完成一个完整的系统过程,可用于各种竞赛计时,交通灯系统,及报警装置。计时范围为00至99,可智能控制。 关键词:多功能计时器、1s倒计时、连续、声光报警

目录 目录 (4) 1 任务提出与方案论证 (5) 1.1 任务提出 (5) 1.2 方案论证 (5) 2 总体设计 (6) 2.1 总体框图 (6) 2.2 总体电路 (7) 3 详细设计 (8) 3.1秒脉冲发生器 (8) 3.2译码计时电路 (10) 3.3控制电路 (13) 3.3.1总开关 (13) 3.3.2单刀双掷开关 (13) 3.4反馈电路 (14) 3.5报警电路 (14) 3.6置数电路: (15) 4 总结 (17) 5 参考文献 (18)

1 任务提出与方案论证 1.1 任务提出 设计一种多功能计时器,要求实现以下功能: 置数、1s倒计时、开启、暂停、连续、清零以及到点声光报警,计时范围为00至99,可智能控制。能任意定时,开启和暂停及清零,1秒的准确延时,及到点声光报警。 1.2 方案论证 秒脉冲发生器:可以选用晶振产生,或者用555定时器或者555与CD40161同时产生,为了实现反馈,让计时器计数到零时停止,我选用CD40161 ,即实现了1s计数有可以形成反馈。译码电路:我选用4线-七段译码器/驱动器74LS48来实现。 计时电路:我选用十进制可逆计数器74LS48 ,可以用来置数,同时也可以来产生减计数。控制电路:用按键和反馈来实现。 报警电路:用speaker和led来实现。 置数电路:用单刀双掷开关选通74ls48的置数端,通过置0或置1来控制。

基于单片机的计数器课程设计资料

课程设计(论文)说明书 题目:计数器 院(系):信息与通信学院 专业:电子信息工程 学生姓名: ******* 学号: ************ 指导教师:唐 * * 职称:讲师 2013 年 12 月 24 日注:论文附有原理图和PCB图。点击下载

摘要 随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,现计数器的种类以增加到:电磁计数器、电子计数器、机械计数器(拉动机械计数器、转动机械计数器、按动机械计数器、测长机械计数器)、液晶计数器等。 本课设设计的是由AT89S52单片机控制的计数器.通过驱动电路驱动两个共阴数码管进行显示数字。另外,在计数的方式上通过两个轻触开关进行控制是手动计数还是自动计数。在计数过程中可以通过复位按键进行复位,然后在进行下一次的计数。计数范围00~59,进行软件编程是本课设设计的是手动计数时从59开始,没按一次P3^7所接的轻触开关就减一,直到减到00又跳回59依次进行。而当P3^6外接的轻触开关按下一次就进行自动从00~59的加一计数。再按一次数值停止自动,保持显示原数,再按下接着进行自动计数。 关键词:单片机;计数器;数码管;

Abstract As technology continues to counter the development and progress, the type of the counter more and more increasingly wide range of applications, in order to counter the kind now: electromagnetic counters, electronic counters, mechanical counters (pulling mechanical counter, counter rotating machinery , pressing the mechanical counter, length measuring mechanical counter), LCD counters. This course is designed to set up controlled by the microcontroller AT89S52 counter through the drive circuit to drive two common cathode LED display numbers. Further, the count mode switch via two touch controls are counted manually or automatically counting. In the counting process can be reset by a reset button, and then during the next count. Count range 00 to 59, is a software program designed for this course is set up from the 59 to start the manual count, not the connected by a P3 ^ 7 touch switch on minus one, until reduced to 00 and 59 to jump back in turn. When P3 ^ 6 external touch switch is pressed once automatically from 00 to 59 plus one count. Press again to stop the automatic value, maintaining the original number is displayed, press followed by automatic counting. Keywords: microcontroller; counters; digital;

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

自动计数器课程设计..

西安电子科技大学 长安学院课程设计实验报告 姓名: 学号: 指导老师:

自动计数器课程设计 摘要:自动计数器在日常生活中屡见不鲜,它是根据不同的情况设定的,能够通过技术功能实现一些相应的程序,如通过自动计数器来实现自动打开和关闭各种电器设备的电源。广泛用于路灯,广告灯,电饭煲等领域。 自动计数器给人们生产生活带来了极大的方便,而且大大地扩展了自动计数器的功能。诸如自动定时报警器、按时自动打铃、时间程序自动控制、定时广播、自动启闭电路,定时开关烤箱、甚至各种定时电器的自动启用等,所有这些,都是以自动计数器为基础的。由于它的功能强劲,用途广泛,方便利用,所以在这个电子科技发展的时代,它是一个很好的电子产品。如在洗衣机的定时控制以及路灯等一些人们不能再现场控制的操控。都可以利用自动计数器来完成这样的功用。可见此系统所能带来的方便和经济效益是相当远大的。因此,研究自动计数器及扩大其应用,有着非常现实的意义。 本次课设设计是检验理论学习水平、实践动手能力及理论结合实际的能力,要求具有一定的分析处理问题能力和自学能力的一个比较重要得实践课程。通过这样的过程,使我们的论文及实践水平有一次较为全面的检查,同时也使我们硬件方面的能力有所提高,对以后的学习有这非常重要的意义。 关键词:电器设备;自动计数器;电源 指导老师签名:

1. 设计任务及方案 1.1设计任务 设计并制作一个自动计数器,NE555构成时钟信号发生器,CD4518为二,十进制加计数器,CD4543为译码驱动器,调节R17课调节555的震荡频率,C1为充放电电容,电容越大,充点时间越长,振荡频率越低。 介绍了一种新型的自动计数器设计方法,以NE555构成计数脉冲信号发生器,CD4518为二/十进制加法计数器,CD4543为译码驱动器,与按键、数码管等较少的辅助硬件电路相结合,实现对LED数码管进行控制。本系统具有体积小、硬件少、电路结构简单及容易操作等优点。 本计数器可将机械或人工计数方式变为电子计数,并且采用LED数码显示,简单直观,可适用于诸多行业,以满足现代生产、生活等方面的需求。随着生产技术的不断改善和提高,在现代化生产的许多场合都可以看到计数器的使用。本计数器具有低廉的造价以及控制简单等特点。通过对计数脉冲的转换可使本计数器应用更为广泛。 2.电路原理 2.1 元器件的设计与参数 本小组设计的电路原理图所涉及的元器件有:电压为+5V的直流稳压电源;最大电阻为100K的滑动变阻器R17一个;有极性电容C1一个;无极性电容C2和C3; 开关SW一个;电阻R1~~R16总共16个;芯片有:NE555,CD4518,CD4543;以及共阴极7段数码显示器两个。

24进制计数器设计报告.doc

24进制计数器设计报告 单时钟同步24进制计数器课程设计报告1.设计任务1.1设计目的1.了解计数器的组成及工作原理。 2.进一步掌握计数器的设计方法和计数器相互级联的方法。 3.进一步掌握各芯片的逻辑功能及使用方法。 4.进一步掌握数字系统的制作和布线方法。 5.熟悉集成电路的引脚安排。 1.2设计指标1.以24为一个周期,且具有自动清零功能。 2.能显示当前计数状态。 1.3设计要求1.画出总体设计框图,以说明计数器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向。 并以文字对原理作辅助说明。 2.设计各个功能模块的电路图,加上原理说明。 3.选择合适的元器件,利用multisim仿真软件验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在确定电路充分正确性同时,输入信号和输出方式要便于电路的测试和故障排除。 4.在验证各个功能模块基础上,对整个电路的元器件和布线进行合理布局。 5.打印PCB板,腐蚀,钻孔,插元器件,焊接再就对整个计数器电路进行调试。

2.设计思路与总体框图.计数器由计数器、译码器、显示器三部分电路组成,再由555定时器组成的多谐振荡器来产生方波,充当计数脉冲来作为计数器的时钟信号,计数结果通过译码器显示。 图1所示为计数器的一般结构框图。 十位数码显示管译码驱动异步清零计数器计数脉冲(由555电路产生)个位位数码示像管译码驱动异步清零计数器强制清零▲图1计数器结构框图3.系统硬件电路的设计3.1555多谐荡电路555多谐振荡电路由NE555P芯片、电阻和电容组成。 由NE555P的3脚输出方波。 ▲图2555电路3.2计数器电路集成计数芯片一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。 有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。 本设计采用异步清零。 由2片十进制同步加法计数器74LS160(图2-1-1)、一片与非门74LS00(图2-1-2)和相应的电阻、开关。 由外加送来的计数脉冲(由555电路产生)送入两个计数器的CLK端,电路在计数脉冲的作用下按二进制自然序依次递增1,当个位计数到9时,输出进位信号给十位充当使能信号进位。

一种多功能计数器的设计

一种多功能计数器的设计 摘要:计数器在我们的日常生活中用得非常普遍,在计算机和数字化设备中更是无处不在。自动化生产流水线上对产品的计数更为重要,但一般计数器专用性强,一种计数器只能对某一种材料或特性的产品进行计数,在一定程度上限制了它的计数对象。鉴于此,本设计制作一个能对不同材料的产品进行计数的多功能计数器,扩大一般计数器的应用范围。 关键词:产品;传感器;计数器 abstract:counter is widely used in our life, especially in computer and digital equipment. but with the problem of material and property of products on pipelining, it is restricted badly on this tache. one counter may barely count the products of same material, though broad usage, its simplex function at a certain extent restrict its using in depth. considering the disadvantage of counter, the objective of this design is definite, that is we can make a multifunctional counter, which enlarge its scope of application. key words: product; sensor; counter 1 引言 计数器在生产实践中的广泛应用大家有目共睹,计数器是数字化设备的基石,少了计数器,大大影响其功能。但就计数器本身而言,

对外部脉冲计数系统的设计计数器课程设计(单片机)

湖南工业大学 课程设计 资料袋 理学学院(系、部)2012 ~ 2013 学年第 1 学期 课程名称单片机应用系统指导教师周玉职称副教授学生姓名张思远专业班级电子科学102 学号10411400223 题目对外部脉冲计数系统的设计 成绩起止日期2013 年01 月06 日~2013 年01 月10 日 目录清单

湖南工业大学 课程设计任务书 2012 —2013 学年第1 学期 理学院学院(系、部)电子科学专业102 班级 课程名称:单片机应用系统 设计题目:对外部脉冲计数系统的设计 完成期限:自2013 年01 月06 日至2013 年01 月10 日共 1 周

指导教师(签字):年月日系(教研室)主任(签字):年月日

附件三 (单片机应用系统) 设计说明书 (题目) 对外部脉冲计数系统的设计 起止日期:2013 年01 月06 日至2013 年01 月10 日 学生姓名张思远 班级电子科学102 学号10411400223 成绩 指导教师(签字) 电气与信息工程学院 2012年12 月10 日

一、设计任务: 1.1 外部脉冲自动计数,自动显示。 1.1.1设计一个255计数器:0-255计数,计满后自动清0,重 新计数(在数码管中显示)。 1.1.2设计一个50000计数器:0-50000计数,计满后自动清0, 重新计数(在数码管中显示)。 注:要求首先采用PROTEUS完成单片机最小系统的硬件电路 设计及仿真;程序仿真测试通过后,再下载到单片机实训 板上执行。 二、硬件设计介绍: ※STC89C52单片机; ※6位共阴或者共阴极数码管; ※外部晶振电路; ※ISP下载接口(In system program,在系统编程); ※DC+5V电源试配器(选配); ※ISP下载线(选配) ※6个PNP(NPN)三极管 ※12个碳膜电阻 三、硬件设计思路 方案一:五个1位7段数码管,无译码器 方案二:五个1位7段数码管,译码器 方案三:1个6位7段数码管,译码器 方案四:1个6位7段数码管,无译码器 考虑实际中外围设备、资金、单片机资源利用率、节省端口数量,可实行性以及连接方便等问题,采用6为数码管(共阳或者共阴极)由于实际中没买到6位的,采用2个三位数码管并接组合一个6位数码管形式;由于实际P口驱动能力有限,故采用6位三极管增大驱动能力,已便足以使得6位数码管亮度明显正常工作,增加6个电阻限流保护数码管不被烧坏。让数码管a-g7段分别接P1.6—P1.0,6位位选分别接P2.5—P2.0。 方法一:共阴极数码管 硬件图1.0所示:通过npn管放大后,段选高电平有效,位选低电平有效

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

10进制加法计数器课程设计

西北师范大学知行学院 数字电子实践论文 课题:74ls161组成的十进制加法计数器 (置数法) 班级:14电本 学号:14040101114 姓名:于能海

指导老师:崔用明 目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (2) 1.3 设计内容及要求 (2) 第2章设计方案 (3) ....................................................................................................................... 错误!未定义书签。 2.1主要芯片功能介绍 (3) 2.2.1 四位二进制计数器74161介绍 (3) ............................................................................................................... 错误!未定义书签。 2.2 工作原理 (4) 第3章硬件设计 (4) 3.1 单元电路设计 (4) 3.2 总硬件电路图 (5) 第4章仿真与试验 (6) 4.1 仿真结果 (6) 4.2 调试中遇到的问题 (7) 第5章结论和体会 (8)

第1章前言 1.1 摘要在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的, 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 关键词:74ls161计数器 Introduction In the course of digital circuit technology, the counter memory function is the number of pulses, it is a digital system, the most widely used basic sequential logic components. The main role of the counter in the micro-computer system is to provide real-time clock for the CPU and I / O devices to achieve the timer interrupt, timing detection, scheduled scanning, the timing display timing control, or to count external events. General computer systems and computer application systems are equipped with a timer / counter circuit, it can as a counter action, but also as a timer, the basic working principle is "minus 1" count. Counter: CLK input pulse is a non-periodic event count pulses to zero when calculating unit, OUT outputs a pulse signal, to show the count is completed. The decimal addition counter is designed based on the 74161 chip, the low potential sensor senses when to rely on external signals, sensors in an object within the sensing range, otherwise it is a high potential. Within the sensing range of the sensor when an object is moved out of date, sensor potential from high to low and then high, appears on the edge. Counter is automatically incremented and displayed on a digital control. The decimal addition counters have two seven-segment LED. It can count from 0 to 99 objects, and easy to expand. The design concept of decimal addition counter is used to count on a factory assembly line products, automatic counting, convenient and simple. Keywords:74ls161counter

基于单片机的光电计数器课程设计

计控学院 College of computer and control engineering Qiqihar university 电气工程课程设计报告题目:基于单片机的光电计数器 系别电气工程系 专业班级电气123班 学生姓名宋恺 学号2012024073 指导教师李艳东 提交日期 2015年6月 24日 成绩

电气工程课程设计报告 摘要 光电计数器是利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。光电计数器的应用范围非常广泛,常用于记录成品数量,例如绕线机线圈匝数的检测、点钞机纸币张数的检测、复印机纸张数量的检测,或展览会参观者人数。 光电计数器与机械计数器相比,具有可靠性高、体积小、技术频率高、能和计算机链接实现自动控制等优点。本文即介绍基于MCS-51单片机的光电技术器。 关键词:单片机;光电计数器;数码显示;自动报警

齐齐哈尔大学计控学院电气工程系课程设计报告 目录 1 设计目的及意义 (1) 2 设计内容 (1) 2.1 系统整体设计 (1) 2.1.1 实验方案 (1) 2.1.2 光电计数器结构框图 (2) 图1 光电计数器结构框图 (2) 2.2系统硬件设计 (2) 2.2.1稳压直流电源电路 (2) 2.2.2发射接收电路 (3) 2.2.3显示电路 (3) 2.2.4报警电路 (4) 2.2.5硬件系统 (4) 2.3系统软件设计 (6) 3 结论7 4 参考文献 (8)

多功能电子式计数器的结构及工作原理

多功能电子式计数器,包括有电源电路,其特征是由信号输入电路、信号处理电路、计数及显示驱动电路、计数状态控制电路、显示器构成,信号输入电路由至少两条由限流电阻与开关串联构成的分挡开关电路并联构成的迭挡器、一端与选挡器输入端并联另一端与电源电路正极联接的输入方式控制开关、阳极与选挡器输出端联接的发光二极管、阳极与发光二极管阴极联接。 集电极经电阻与电源电路正极联接的光电偶合器,阳极与光电偶合器阴极联接的整流二极管构成,信号处理电路由输入端与信号输入电路光电偶合器集电极联接,其输出端经电阻与电源电路正极联接的施密特触发器、输入端与施密特触发器输出端联接的同相缓冲器、反相缓冲器,控制端分别与同相缓冲器、反相缓冲器输出端联接,其输出端并联的两个膜拟开关、与模拟开关输出端并联的滤波电路构成,与同相缓冲器输出端联接的模拟开关的输人端接电源正极与反相缓冲器输出端联接的模拟开关的输入端接公共地,计数及显示驱动电路由计数及显示驱动集成电路芯片和芯片工作辅助外围电路构成。 其计数输入端接信号处理电路输出端,其输出接显示器输入端,计数及显示驱动集成电路芯片为具有复位端和计数使能端的并对计数输入端的脉冲信号以十进位方式计数的具有多位显示译码和直接驱动显示器进行数码显示的集成电路芯片,计数状态控制电路由一端接计数及显示驱动集成电路芯片复位端,另一端接系统公共地的复位开关和公共端接集成电路芯片的计数使能端,其另外面端分别接电源电路正极和公共地的单刀双掷开关构成。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解相关仪器仪表产品的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城https://www.360docs.net/doc/621731798.html,/

相关文档
最新文档