100MHz等精度频率计设计(基于Verilog HDL)

100MHz等精度频率计设计(基于Verilog HDL)
100MHz等精度频率计设计(基于Verilog HDL)

数电课设报告

姓名:郑中权

学号:152210303127

班级:电子1班

江苏科技大学

2017/10/12

100MHz等精度频率计设计(基于Verilog HDL)一、设计要求:

提供一个幅值为10mV ~ 1 V,频率为 1 ~ 100MHz的正弦信号,需测试以下指标:

1.频率:测频范围 1Hz ~ 100MHz,测频精度为测频全域内相对误差恒为百万分之一。

2.占空比:测试精度 1% ~ 99%

3.相位差:测试两个同频率的信号之间的相位差,测试范围 0 ~ 360

二、设计分析

使用FPGA数字信号处理方法,首先需要将正弦信号转换成可读取的数字方波信号,再经过FPGA 设计计算得出所需测量值。

三、模电部分

首先选择比较器,对于 100 MHz 信号,比较器灵敏度需要达到5ns内,TI公司的LTV3501灵敏度为4.5ns,符合要求

由TLV3501数据手册得知:当频率低于 50MHz 的时候,正弦波的峰峰值需大于 20mV,频率高于

50MHz时,峰峰值需大于 1V。

然后需要选择放大器,当正弦波幅值为 10mV时,放大倍数需大于35。方法通过二级放大,一级用OPA847放大20倍,二级用OPA675放大8倍,得到总放大倍数160的正弦波。

经转换后的输出电压符合TTL电平要求,可以被识别出0和1。

四、数电部分

开发板:Cyclone IV E: EP4CE6E22C8

板载时钟为 50MHz,带4个按键和一个复位键(按键按下为0,抬起为1),四个七段数码管(共阳),FPGA的引脚可由杜邦线引出。

设计思路:

测量频率:

输入一个100MHz的基准频率,由计数器CNT1来计算基准频率的上升沿个数,即周期数。

输入一个被测信号,它由计数器CNT2来测量周期数。

两个信号在同一个使能信号EN(使能信号时间为1~2s)下开始计数,计数完后,存储计数结果,由(CNT1 * 10)可以算出具体的计数时间(单位ns),再由((CNT1 * 10ns)/CNT2 )*10^9可算得被测信号频率,单位Hz。

测量占空比:

同样由CNT1测量基准信号100MHz的周期数,使能信号还是EN。

CNT3测量的也是100MHz,但是它的使能信号是在EN使能时,有被测信号时且被测信号为高电平时计数,测得的是被测信号高电平中100MHz周期数的个数,即在一段时间内,高电平的计数个数占总计数个数的比例。

所以占空比计算公式为 CNT3/CNT1 *100。

测量相位差:

CNT3同前。

CNT4测量的也是100MHz,但它的使能信号为当被测信号1为高电平,被测信号2为低电平。即被测

信号1超前被测信号2的部分计数。用CNT4/CNT3 *180即被测信号1和被测信号2的相位差,但有一个限制,就是被测信号1和被测信号2的占空比都为50%。

原理图:

原理图仿真:频率:

占空比:

相位差:

mySZQLJ :下载用的顶层文件

test :仿真用的顶层文件

KEY :按键模块,用于按键消抖

DFF1 :D触发器,用于等待被测信号上升沿PL :用于产生测试信号

LPM_PLL :用LPM生成的锁相环,用于倍频couter32 :32位计数器

process :用于选择数据输出及数据计算MUX :4选1选择器,选择输出计数器的值SMG :驱动4个7段数码管

mySZPLJ 顶层文件

KEY模块

加入按键模块是为了防止按键抖动,同时实现TOGGLE,即按一下开始计数,再按一下计数结束。

DFF1模块

DFF1模块可以让使能信号和基准信号,被测信号等同上升沿,提高精准度。

PL模块

PL模块输入100MHz信号,通过程序转换,输出用于测试用的各个信号。

LPM_PLL模块

由于板载晶振50M,达不到100MHz要求,加入LPM_PLL模块为了倍频,得到所需要的100MHz基准信号。

couter32模块

计数器,采用32位,因为2^32>100M.

process模块

软件转换,由于计算结果会溢出,这里下载到板子的程序并不包含这个,process模块仅用于仿真使用。

MUX模块

4选1模块,选择要输出的结果

SMG模块

驱动4个7段数码管。

五、下载测试与误差分析

下载测试

绑定引脚:

被测1输入: PIN_30

被测2输入: PIM_28

生成的测试信号1Hz: PIN_105

生成的测试信号1KHz: PIN_103

生成的测试信号1MHz: PIN_100

生成的测试信号1KHz: PIN_98 下载与读数:

误差分析

可能出现的误差就是,当基准信号还在高电平和低电平时,EN使能,这会导致CNT1多计数或少计数一个周期,导致计算结果出现误差。

六、总结

为期两周的课设结束了,虽然我如愿完成了设计要求,但是由于身边没有可用MCU和自身知识的不足,我未能设计出一款由单片机控制,完全“傻瓜式”的频率计,这是个小小的遗憾。我相信通过日后不断学习,我会设计出来的。在这两周的设计过程中,我遇到了不少问题。首先是对于Quartus的使用和Verilog知识的掌握,上一次使用它们已经是一年前,难免生疏了。代价就是花费了我将近两三天的查阅书籍和翻看以前的程序才捡回来。其次是对模电知识的理解不到位,可能课上学的都是理论知识,现实情况便手忙脚乱。在设计过程中,遇到了大大小小的问题,有时候出现一个小小的错误,就需要半天才能发现和改正过来。为了避免这种情况,我应该更严谨,把工程分为多个模块去完成,这样才可以快速定位问题。

通过这两周的学习,我知道知识不用会忘,学习不严谨会出错。所以以后我要更加严谨,并且要多用自己学到的技能。

2位十进制高精度数字频率计设计

广州大学学生实验报告 实验室:电子信息楼 317EDA 2017 年 10 月 2 日 学院机电学院年级、专 业、班 电信 151 姓名苏伟强学号1507400051 实验课 程名称 可编程逻辑器件及硬件描述语言实验成绩 实验项 目名称 实验4 2位十进制高精度数字频率计设计指导老师 秦剑 一实验目的 1 熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。 2 完成2位十进制频率计的设计,学会利用实验系统上的FPGA/CPLD验证较复杂设计项目的方法。 二实验原理 1 若某一信号在T秒时间里重复变化了N 次,则根据频率的定义可知该信号的频率fs 为:fs=N/T 通常测量时间T取1秒或它的十进制时间。 三实验设备 1 FPGA 实验箱,quarteus软件 四实验内容和结果 1 2位十进制计数器设计 1.1 设计原理图:新建quarteus工程,新建block diagram/schematic File文件,绘制原理图,命名为conter8,如图1,保存,编译,注意:ql[3..0]输出的低4位(十进制的个位), qh[3..0]输出的高4位(十进制的十位) 图片11.2 系统仿真:如图2建立波形图进行波形仿真,如图可以看到完全符合设计要求,当clk输入时钟信号时,clr有清零功能,当enb高电平时允许计数,低电平禁止计数,当低4位计数到9时向高4位进1 图2 1.3 生成元件符号:File->create/updata->create symbol file for current file,保存,命名为conter8,如图3为元件符号(block symbol file 文件): 图3 2 频率计主结构电路设计 2.1 绘制原理图:关闭原理的工程,新建工程,命名为ft_top,新建原理图文件,在project navigator的file 选项卡,右键file->add file to the project->libraries->project library name添加之前conters8工程的目录在该目录下,这样做的目的是因为我们会用到里面的conters8进行原理图绘制,绘制原理图,如图4,为了显示更多的过程信息,我们将74374的输出也作为output,重新绘制了原理图,图5 图4

高精度单片机频率计的设计

《综合课程设计》 一.数字频率计的设计 姓名:万咬春学号2005142135 一、课程设计的目的 通过本课程设计使学生进一步巩固光纤通信、单片机原理与技术的基本概念、基本理论、分析问题的基本方法;增强学生的软件编程实现能力和解决实际问题的能力,使学生能有效地将理论和实际紧密结合,拓展学生在工程实践方面的专业知识和相关技能。 二、课程设计的内容和要求 1.课程设计内容 (硬件类)频率测量仪的设计 2.课程设计要求 频率测量仪的设计 要求学生能够熟练地用单片机中定时/计数、中断等技术,针对周期性信号的特点,采用不同的算法,编程实现对信号频率的测量,将测量的结果显示在LCD 1602 上,并运用Proteus软件绘制电路原理图,进行仿真验证。 三.实验原理 可用两种方法测待测信号的频率 方法一:(定时1s测信号脉冲次数) 用一个定时计数器做定时中断,定时1s,另一定时计数器仅做计数器使用,初始化完毕后同时开启两个定时计数器,直到产生1s中断,产生1s中断后立即关闭T0和T1(起保护程序和数据的作用)取出计数器寄存器内的值就是1s内待测信号的下跳沿次数即待测信号的频率。用相关函数显示完毕后再开启T0和T1这样即可进入下一轮测量。 原理示意图如下:

实验原理分析: 1.根据该实验原理待测信号的频率不应该大于计数器的最大值65535,也就是说待测信号应小于65535Hz。 2.实验的误差应当是均与的与待测信号的频率无关。 方法二(测信号正半周期) 对于1:1占空比的方波,仅用一个定时计数器做计数器,外部中断引脚作待测信号输入口,置计数器为外部中断引脚控制(外部中断引脚为“1”切TRx=1计数器开始计数)。单片机初始化完毕后程序等待半个正半周期(以便准确打开TRx)打开TRx,这时只要INTx (外部中断引脚)为高电平计数器即不断计数,低电平则不计数,待信号从高电平后计数器终止计数,关闭TRx保护计数器寄存器的值,该值即为待测信号一个正半周期的单片机机器周期数,即可求出待测信号的周期:待测信号周期T=2*cnt/(12/fsoc) cnt为测得待测信号的一个正半周期机器周期数;fsoc为单片机的晶振。所以待测信号的频率f=1/T。 原理示意图如下: 实验原理分析: 1.根据该实验原理该方法只适用于1:1占空比的方波信号,要测非1:1占空比的方波信号 2.由于有执行f=1/(2*cnt/(12/fsoc))的浮点运算,而数据类型转换时未用LCD 浮点显示,故测得的频率将会被取整,如1234.893Hz理论显示为1234Hz,测 得结果会有一定程度的偏小。也就是说测量结果与信号频率的奇偶有一定关 系。 3.由于计数器的寄存器取值在1~65535之间,用该原理时,待测信号的频率小于单片机周期的1/12时,单片机方可较标准的测得待测信号的正半周期。故用 该原理测得信号的最高频率理论应为fsoc/12 如12MHZ的单片机为1MHz。 而最小频率为f=1/(2*65535/(12/fsoc))如12MHZ的单片机为8Hz。 四.实验内容及步骤 1. 仿真模型的构建 数字方波频率计的设计总体可分为两个模块。一是信号频率测量,二是将测得的频率数据显示在1602液晶显示模块上。因此可搭建单片机最小系统构建构建频率计的仿真模型。原理图,仿真模型的总原理图如下:

计算机毕业论文_基于FPGA的等精度频率计的设计与实现

目录 前言...............................................................1 第一章 FPGA及Verilog HDL..........................................2 1.1 FPGA简介.....................................................2 1.2 Verilog HDL 概述.............................................2 第二章数字频率计的设计原理........................................3 2.1 设计要求.....................................................3 2.2 频率测量.....................................................3 2.3.系统的硬件框架设计..............................................4 2.4系统设计与方案论证............................................5 第三章数字频率计的设计............................................8 3.1系统设计顶层电路原理图........................................8 3.2频率计的VHDL设计.............................................9 第四章软件的测试...............................................15 4.1测试的环境——MAX+plusII.....................................15 4.2调试和器件编程...............................................15 4.3频率测试.....................................................16

等精度数字频率计的设计

等精度数字频率计的设计 李艳秋 摘要 基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 关键词等精度测量,单片机,频率计,闸门时间,FPGA Ⅱ

ABSTRACT Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array Ⅱ

等精度数字频率计的设计

等精度数字频率计的设计 (Design of equal precision digital frequency meter)作者:李欢(电子工程学院光信息科学与技术 1103班) 指导教师:惠战强 摘要:伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。 数字频率计是一种基本的测量仪器。它被广泛应用于航天、电子、测控等领域。采用等精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,然后介绍了频率测量的一般原理。 关键字:电子设计自动化;VHDL语言;频率测量;数字频率计 Abstract The Electronic Design Automation (EDA) technology has become an important design method of analog and digital circuit system as the integrated circuit's growing. The EDA technology, which is closely connected with the electronic technology, microelectronics technology and computer science, can be used in designing electronic product automatically. Digital frequency meter is a basic measuring instruments. It is widely used in aerospace, electronics, monitoring and other fields. With equal precision frequency measurement accuracy to maintain a constant, and not with the measured signal varies.We firstly present some background information of EDA, FPGA/CPLD and VHDL;then introduced the general principle of frequency measurement. Keywords: Electronic Design Automation,VHDL, Frequency measurement,digital frequency meter.

基于FPGA的等精度频率计

光电与通信工程学院课程设计报告书 课设名称:等精度频率计 年级专业及班级: 姓名: 学号:

一、课程设计目的 1、进一步熟悉 Quartus Ⅱ的软件使用方法,熟悉 keil 软件使用; 2、熟悉单片机与可编程逻辑器件的开发流程及硬件测试方法; 3、掌握等精度频率计设计的基本原理。 4、掌握独立系统设计及调试方法,提高系统设计能力。 实验设备 EDA最小系统板一块(康芯)、PC机一台、示波器一台、信号发生器一台、万用表一个。 二、设计任务 利用单片机与FPGA设计一款等精度频率计,待测脉冲的检测及计数部分由FPGA实现,FPGA的计数结果送由单片机进行计算,并将最终频率结果显示在数码管上。要求该频率计具有较高的测量精度,且在整个频率区域能保持恒定的测试精度,具体指标如下: a)具有频率测试功能:测频范围 100Hz~5MHz。测频精度:相对误差恒为基准频率的万分之一。 b)具有脉宽测试功能:测试范围 10μs~1s,测试精度:0.1μs。 c)具有占空比测试功能:测试精度1%~99%。 d)具有相位测试功能。 (注:任务a 为基本要求,任务 b、c、d 为提高要求) 三、基本原理 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。 3.1 等精度测频原理 等精度频率计主控结构如图 1 所示

预置门控信号 CL 选择为 0.1~1s 之间(通过测试实验得出结论:CL 在这个 范围内选择时间宽度对测频精度几乎没有影响)。BZH 和 TF 分别是 2 个高速计数器,BZH 对标准频率信号(频率为 Fs)进行计数,设计数结果为 Ns;TF 对被测信号(频率为Fx)进行计数,计数结果为 Nx,则有 MUX64-8 模块并不是必须的,可根据实际设计进行取舍。分析测频计测控时序,着重分析 START的作用,完成等精度频率计设计。 3.2 FPGA 模块 FPGA模块所要完成的功能如图 1 所示,由于单片机的速度慢,不能直接测量高频信号,所以使用高速 FPGA 为测频核心。100MHZ 的标准频率信号由FPGA 内部的 PLL 倍频实现,待测信号 TCLK 为方波,由信号发生器给出待测方波信号(注意:该方波信号带有直流偏置,没有负电压,幅值3.3V)。预制

等精度数字频率计的设计与实现

FPGA/SOPC课程设计报告书 课题名称:等精度数字频率计的设计与实现 姓名: 学号: 院系:电子与信息工程系 专业:电子信息工程 指导教师: 时间:2012年6月

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统方案论证 (1) 3.2、模块电路设计 (3) 四、系统调试与结果 (4) 五、主要元器件与设备 (6) 六、课程设计体会与建议 (6) 6.1、设计体会 (6) 6.2、设计建议 (7) 七、参考文献 (7)

一、设计目的 1、熟悉DE2_70电路板的引脚安排。 2、掌握芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解等精度数字频率计的组成及工作原理。 5、熟悉等精度数字频率计的设计与制作。 二、设计思路 1、设计测量计算频率电路。 2、设计译码显示电路。 三、设计过程 3.1、系统方案论证 等精度测频的实现方法可简化为如图1 所示的框图。图中CNT l和CNT2 是两个可控计数器, 标准频率( fs ) 信号从CN Tl的时钟输入端CLK 输入, 经整 形后的被测信号( fx ) 从CNT 2 的时钟输入端CLK输入。每个计数器中的CEN 输入端为使能端, 用来控制计数器计数。当预置闸门信号为高电平( 预置时间开始) 时, 被测信号的上升沿通过D触发器的输入端, 同时启动两个计数器计数; 同样, 当预置闸门信号为低电平( 预置时间结束) 时, 被测信号的上升沿通过 D触发器的输出端, 使计数器停止计数。 图1 等精度数字频率计设计原理

FPGA的等精度数字频率计的设计相关中英对照外文文献翻译毕业设计论文高质量人工翻译原文带出处5

基于FPGA的等精度数字频率计的设计 中英文翻译 中文译文: 数字频率计的介绍 数字频率计是通信设备、音、视频等科研生产领域不可缺少的测量仪器。采用Verilog HDL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分外,其余全部在一片FPGA芯片上实现。整个系统非常精简,且具有灵活的现场可更改性。 1 等精度测频原理 频率的测量方法主要分为2 种方法: (1) 直接测量法, 即在一定的闸门时间内测量被测信号的脉冲个数。 (2) 间接测量法, 例如周期测频法、V F 转换法等。间接测频法仅适用测量低频信号。 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低, 在实用中有较大的局限性, 而等精度频率计不但具有较高的测量精度, 而且在整个频率区域能保持恒定的测试精度。频率测量方法的主要测量预置门控信号GATE是由单片机发出,GATE的时间宽度对测频精度影响较少,可以在较大的范围内选择,只要FPGA中32 b计数器在计100 M信号不溢出都行,根据理论计算GATE的时间宽度Tc可以大于42.94 s,但是由于单片机的数据处理能力限制,实际的时间宽度较少,一般可在10~0.1 s间选择,即在高频段时,闸门时间较短;低频时闸门时间较长。这样闸门时间宽度Tc依据被测频率的大小自动调整测频,从而实现量程的自动转换,扩大了测频的量程范围;实现了全范围等精度测量,减少了低频测量的误差。 本设计频率测量方法的主要测量控制框图如图1 所示。图1 中预置门控信号GA TE 是由单片机发出, GA TE的时间宽度对测频精度影响较少, 可以在较大的范围内选择, 只要FPGA 中32 b 计数器在计100M 信号不溢出都行, 根据理论计算GA TE 的时间宽度T c 可以大于42194s, 但是由于单片机的数据处理能力限制, 实际的时间宽度较少, 一般可在10~011 s 间选择, 即在高频段时,

基于单片机与FPGA的等精度频率计设计

第28卷第3期2009年3月 鼻工I动仡 Ordnance[ndustryAutomation V01.28,No.3 Max.2009 文章编号:1006—1576(2009)03—0079—02 基于单片机与FPGA的等精度频率计设计 吴海明,王伟 (中国兵器工业第五八研究所军用电子产品事业部,四川绵阳621000) 摘要:根据等精度测频原理,剃用单片机与FPGA结合设计等精度数字频率计.待测频率信号经过整形放大后输入到FPGA,单片机控制FPGA通过内部脉冲计数器对待测信号和标准信号源同时计数,单片机读回测频数据后,经运算处理后显示.等精度频率计测频精度高,且精度不随频率的变化而变化。单片机与FPGA结合,测频速度快,范围宽,可靠性高. 关键词:频率计;等精度:单片机;FPGA 中图分类号:TP302文献标识码:B DesignofEqualPrecisionCymometerbyMCUandFPGA WUHai—ming.WANGWei (ShiweiElec.CoLtd.,No.58ResearchInstituteofChinaOrdnanceIndustries。Mianyang621000。China) Abstract:ThedesignofequalcymometerispresentedbasedonMCUandFPGA,accordingtothe principleofequivalentaccuracymeasuringfrequency.Afteramplificationandrectification,thesignaltobemeasuredistransferredinFPGA;thesignalandstandardsignalsourcewerecountedwithFPGAcontrolledbyMCU;theresultofmeasureisshown afterMCUhasreceivedthemeasuring frequencydataandcalculatedit.The measuremethodcombinedMCUwithFPGA hasrapidmeasuringfrequency,widerangeofmeasurement,and highreliability. Keywords:Cymometer;Equalprecision;MCU:FPGA O引言 随着电子技术的发展,在信号频率测量中对准确性的要求也越高。基于传统测频原理的频率计的测量精度随被测信号频率的变化而变化,在实际应用中有较大的局限性,等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测量精度。传统的以单片机为核心的测频系统,测频速度较慢,无法满足高速、高精度的测频要求。而FPGA器件通过编程,在芯片内部可以实现高速、宽范围的测频。在逻辑运算、智能控制方面,单片机具有不可替代的优越性;而在高速、稳定性等方面,FPGA是首选。故结合单片机与FPGA器件的优点,对等精度频率计系统进行设计。 l系统组成 等精度频率计的系统框图如图l,该系统主要包括信号放大整形电路、测频电路、标准频率源、单片机控制模块、显示模块和可扩展键盘模块。 其中,信号放大整形电路用来完成对待测信号的前端处理,以便作为FPGA的输入信号。测频电路是系统的核心电路模块,由FPGA实现。标准频率源由100MHz的晶振实现,为FPGA提供标准频率信号。单片机电路模块也是本系统的核心之一,控制FPGA进行测频操作,并读取测频数据,做出相应数据处理。显示模块采用7个数码管显示测试结果。键盘模块是系统设计中的可选扩展模块,可以设计更多的按键用来实现其他控制功能。 被口信号—叫信号放大整形电路卜怔亟;j】H茔 I置‘t-t片叫兰至 L—===:U 垦区王—一杌 图1等精度频率计系统框图 2等精度测频原理 等精度测频的实现可用图2的结构框图和图3的时序图来说明。图2中“预置门控信号”CTRL由单片机发出,设其宽度为T_ctrl,在0.1~1S的范围内,T STD—CNT和XF_CNT模块是2个可控的32位高速计数器,S—EN和X』N分别是计数允许端,高电平有效。标准频率信号通过STD—CNT的时钟输入端S—CLK输入,设其频率为Fs;经整形后的被测信号通过另一个32位计数器XFCNT的时钟输入端X—CLK输入,设其频率值为Fx。 收稿日期:2008—09一08i修回日期:2008—11-06 作者简介:吴海明(1980一)。男,内蒙古人,在读硕士,从事嵌入式系统研究。 ?79?万方数据

数字频率计设计报告1

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

等精度频率计的设计

等精度频率计的设计 摘要:伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。 数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。采用等精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,概述了EDA软件平台QUARTUSⅡ;然后介绍了频率测量的一般原理,利用等精度测量原理,通过FPGA运用VHDL 编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS Ⅱ集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。 关键词:电子设计自动化;VHDL语言;频率测量;数字频率计 1. 前言 21世纪人类将全面进入信息化社会,对微电子信息技术和微电子VLSI基础技术将不断提出更高的发展要求,微电子技术仍将继续是21世纪若干年代中最为重要的和最有活力的高科技领域之一。而集成电路(IC)技术在微电子领域占有重要的地位。伴随着IC技术的发展,电子设计自动化(Electronic Design Automation, EDA)己经逐渐成为重要设计手段,其广泛应用于模拟与数字电路系统等许多领域。 EDA是指以计算机大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术[1]。 VHDL(超高速集成电路硬件描述语言)是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top_Down)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差,可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。 数字频率计是通信设备、音、视频等科研生产领域不可缺少的测量仪器。采用VHDL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分外,其余全部在一片FPGA 芯片上实现。整个系统非常精简,且具有灵活的现场可更改性。 本文用VHDL在CPLD器件上实现一种8 位数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且能对其他多种频率信号进行

100MHz等精度频率计设计(基于Verilog HDL)

数电课设报告 姓名:郑中权 学号:152210303127 班级:电子1班 江苏科技大学 2017/10/12

100MHz等精度频率计设计(基于Verilog HDL)一、设计要求: 提供一个幅值为10mV ~ 1 V,频率为 1 ~ 100MHz的正弦信号,需测试以下指标: 1.频率:测频范围 1Hz ~ 100MHz,测频精度为测频全域内相对误差恒为百万分之一。 2.占空比:测试精度 1% ~ 99% 3.相位差:测试两个同频率的信号之间的相位差,测试范围 0 ~ 360 二、设计分析 使用FPGA数字信号处理方法,首先需要将正弦信号转换成可读取的数字方波信号,再经过FPGA 设计计算得出所需测量值。 三、模电部分 首先选择比较器,对于 100 MHz 信号,比较器灵敏度需要达到5ns内,TI公司的LTV3501灵敏度为4.5ns,符合要求 由TLV3501数据手册得知:当频率低于 50MHz 的时候,正弦波的峰峰值需大于 20mV,频率高于

50MHz时,峰峰值需大于 1V。 然后需要选择放大器,当正弦波幅值为 10mV时,放大倍数需大于35。方法通过二级放大,一级用OPA847放大20倍,二级用OPA675放大8倍,得到总放大倍数160的正弦波。 经转换后的输出电压符合TTL电平要求,可以被识别出0和1。

四、数电部分 开发板:Cyclone IV E: EP4CE6E22C8 板载时钟为 50MHz,带4个按键和一个复位键(按键按下为0,抬起为1),四个七段数码管(共阳),FPGA的引脚可由杜邦线引出。 设计思路: 测量频率: 输入一个100MHz的基准频率,由计数器CNT1来计算基准频率的上升沿个数,即周期数。 输入一个被测信号,它由计数器CNT2来测量周期数。 两个信号在同一个使能信号EN(使能信号时间为1~2s)下开始计数,计数完后,存储计数结果,由(CNT1 * 10)可以算出具体的计数时间(单位ns),再由((CNT1 * 10ns)/CNT2 )*10^9可算得被

基于FPGA的高精度频率计设计

基于FPGA的高精度频率计设计 摘要 频率计是一种应用非常广泛的电子仪器,也是电子测量领域中的一项重要内容,而高精度的频率计的应用尤为广泛。目前宽范围、高精度数字式频率计的设计方法大都采用单片机加高速、专用计数器芯片来实现。传统的频率测量利用分立器件比较麻烦,精度又比较低,输入信号要求过高,很不利于高性能场合应用。 本论文完成了高精度数字频率计硬件设计和软件设计。该数字频率计主要包括FPGA和单片机两大部分。其中FPGA部分又可分为数据测量模块、FPGA和单片机接口模块、FPGA和数码管动态扫描部分。FPGA部分采用verilog语言编写了电路的各模块电路,选用了当前比较流行的EDA开发软件Quartus II作为开发平台,所有模块程序均通过了编译和功能仿真验证。对测频系统的设计流程、模型的建立和仿真做出了具体详细的研究,验证了该系统的正确性。单片机部分采用C51编写了控制软件。 本设计中以FPGA器件作为系统控制的核心,其灵活的现场可更改性,可再配置能力,对系统的各种改进非常方便,在不更改硬件电路的基础上还可以进一步提高系统的性能。 关键词:频率计,单片机,FPGA,电子设计自动化

Design of High-accuracy Digital Frequency Meter Based on FPGA ABSTRACT Frequency meter is a kind of electronic instrument applied widely. A kind of high-accuracy digital frequency meter is designed based on FPGA in this paper.At present extends the scope,the high accuracy digital frequency meter's design method to use the monolithic integrated circuit to add, the special-purpose counter chip mostly to realize high speed. The design of system hardware and system software is accomplished in the paper. System consists of FGPA and MCU. The circuit based on FPGA includes following some parts: data acquisition module, interface between FPGA and MCU, module scanning number tube. Every circuit module is realized by verilog.The platform of development is Quartus II and all modules procedure is demonstrated by compiling and simulation. Detailed research of design flow, model establishment and system simulation is done. The correctness of the system is demonstrated. The software based on MCU is programmed by C51. In this design takes the systems control by the FPGA component the core, its nimble scene alterability, may dispose ability again, is convenient to system's each kind of improvement, in does not change in hardware circuit's foundation also to be possible to further enhance system's performance.The system has the advantage of high-accuracy and convenience. It’s practicability of frequency meter is well. KEY WORDS: Frequency meter, MCU, FPGA, electronic design automation

等精度频率计

精度频率计的设计 一 摘要 本设计是基于MCS-51单片机的等精度频率计。输入信号为峰峰值5v 的正弦信号,频率测量范围10HZ~100MHZ ,频率测量精度为0.1%。采用1602液晶显示器显示测量结果。信号源由PROTEUS 的虚拟信号发生器产生。 二 关键词 频率计 等精度 单片机 分频 三 设计原理与总体方案 测量一个信号的频率有两种方法:第一种是计数法,用基准信号去测量被测信号的高电平持续的时间,然后转换成被测信号的频率。第二种是计时法,计算在基准信号高电平期间通过的被测信号个数。 根据设计要求测量10HZ~100MHZ 的正弦信号,首先要将正弦信号通过过零比较转换成方波信号,然后变成测量方波信号。如果用第一种方法,当信号频率超过1KHZ 的时候测量精度将超出测量极度要求,所以当被测信号的频率高于1KHZ 的时候需要将被测信号进行分频处理。如果被测信号频率很高需要将被测信号进行多次分频直到达到设计的精度要求。 根据设计要求用单片机的内部T0产生基准信号,由INTO 输入被测信号,通过定时方式计算被测信号的高电平持续时间。通过单片机计算得出结果,最后有1062液晶显示器显示测量结果。等精度频率计的系统设计框架如下图1所示。 图1 等精度频率计系统设计框图 四 芯片以及电路介绍 硬件电路主要分为信号转换电路、分频电路、数据选择电路、单片机系统和显示电路 AT89C51 液晶显示 被测信 号 信号转换(过零 比较) 分频处理 数据选 择器

五部分。 电平转换电路: 要将正弦信号转换成方波信号可以用过零比较电路实现。正弦信号通过LM833N与零电平比较,电压大于零的时候输出LM833N的正电源+5V,电压小于零的时候输出负电源0V。具体电路如图2所示。 图2信号转换电路 分频电路: 分频电路采用十进制的计数器74HC4017来分频,当被测信号脉冲个数达到10个时74HC4017产生溢出,C0端输出频率为输入频率的1/10,达到十分频的作用。如果当频率很高是需要多次分频只需将多片74HC4017级联就可以了。74HC4017时序图如图3所示,系统分频电路如图4所示。 图374HC4017时序图

高精度频率计

联系说明 本电子文档是MT020学习开发板的相关设计文档之一,需要购买C8051F020学习开发板才拥有此资料,请尊重作者劳动成果,未经作者同意,请勿用于商业目的。 MT020学习开发板,MT-UDA仿真器购买地址: 淘宝店:https://www.360docs.net/doc/6218122278.html, QQ:331215223 E-MAIL:viewmicro@https://www.360docs.net/doc/6218122278.html,

目录 摘 要 (3) 关键词: (3) 1引 言 (3) 2总体方案选择与论证 (3) 2.1总方案比较 (3) 2.2测频方案比较 (4) 3 系统的硬件设计 (6) 3.1信号整形电路 (6) 3.2测频电路 (6) 4 系统软件设计 (7) 4.1640480液晶程序流程图 (7) 5 软硬件连调与系统测试数据 (7) 5.1子程序调试 (7) 5.2LCD显示调试 (8) 5.3频率计功能测试及总体调试 (8) 5.4数据测试 (8) 6 技术指标与系统误差分析 (8) 6.1主要技术指标: (8) 6.2系统误差分析 (9) 参考文献 (9) 附录1 系统原理图 (10) 附录2 程序(参考附件) (10)

高精度频率计 摘 要:本系统基于C8051F020实现等精度的频率测量,利用该单片机灵活的控制功能及速度优势,大大提高了性能和测量精度。以往的测频都是采用高频段直接计数、低频段间接测周的方法,其测量精度往往会随着被测频率的改变而受到影响。为了克服测频中高低频段测量精度不等的缺陷,采用双计数器设计,实现等精度的频率测量。等精度的测量方法不但具有较高的测量精度,而且在整个测频区域内保持恒定的测量精度。 关键词:频率计;等精度;C8051F020; 1引 言 频率计是计算机、通讯设备、音视频等科研生产领域不可缺少的测量仪器,测频一直以来都是电子和通讯系统工作的重要手段之一。高精度的测频仪有着广泛的市场前景。以往的测频仪都是在低频段采用测周的方法、高频段采用测频的方法,前者对测高频有较高精度,而后者对测低频有较高精度。然而往往由于被测频率事前不可预测,因此测量精度和测量方法事前均不可确定,从而给使用带来不便,测量精度也受到影响。为了克服测频中高低频段引起测量精度不等,采用等精度的测频原理,双计数器同时计数,保证了整个测试范围内恒定的测试精度。 该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的改变而改变的缺点。等精度的测量方法不但具有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。本设计由于其测量迅速,精确度高,显示直观,因此对它的研究非常有必要,而且相当大的意义,值得深入探讨。2总体方案选择与论证 2.1 总方案比较 方案一:由传统51系列单片机控制,被测信号首先经过放大、整形后再由分频器进行分频,然后送人单片机的T0端口并开始计数,分频器的分频系数由单片机来控制;计数、分频达到规定的精度后结束计数,然后调用显示子程序,显示结果。分频、频率的计算和显示由单片机控制。

相关文档
最新文档