数字信号分析仪

数字信号分析仪
数字信号分析仪

毕业论文数字信号传输性能分析仪

专业:电气工程及其自动化班级:08电气班

学生姓名:马建林

指导教师:彭世林

完成时间:2012年3月15日

基于FPGA的数字信号传输性能分析仪的设计

马建林,彭世林

(陇东学院电气工程学院,甘肃庆阳 745000)

摘要:在参阅和理解相关文献的基础上,运用FPGA的有关知识,设计了数字信号传输性能分析仪。该简易数字信号传输性能分析仪的设计实现以Altera公司Cyclone II系列EP2C8Q208C8为核心控制器件、Quartus II 9.0开发软件为设计工具。由数字信号发生器、低通滤波器、伪随机信号发生器和数字信号分析电路等模块组成。运用VHDL语言,通过数值计算和仿真技术对数字信号传输性能进行分析与测试。数字信号发生器产生数字信号V1和相应的时钟信号V1-clock;低通滤波器对V1进行滤波,输出V2信号;伪随机信号发生器产生伪随机信号V3,V3经电容C后与V2信号叠加得到作为数字信号分析电路的输入信号V2a;数字信号分析电路得到输出信号V4和提取的同步信号V4-syn。所得结果将为数字信号传输性能的分析与测试提供辅助手段。

关键词:数字信号;低通滤波器;伪随机信号;同步信号

Digital Signal Transmission Performance Analyzer

Jianlin-Ma,Shilin-Peng

(Electrical Engineering College,Longdong University,Qingyang 745000,Gansu,China)

Abstract: with reference the understanding and on the basis of related literatures,the use of the knowledge about the FPGA,design the digital signal transmission performance analyzer.This simple digital signal transmission performance analyzer to realize the design of Altera company Cyclone II EP2C8Q208C8 series for the core control device,Quartus II 9.0 development software for the design tools.By digital signal generator,low pass filter,pseudo random signal generator and digital signal analysis circuit https://www.360docs.net/doc/6813321423.html,e VHDL language,through the numerical calculation and simulation technology of digital signal transmission performance analysis and test.Digital signal generator to create a digital signal V1 and corresponding clock signal V1-clock;Low pass filter to filtering V1 and V2 signal output;Pseudo random signal generator produces pseudo random signal V3,after the capacitance C and V3 signals that get V2 as a digital signal analysis circuit of the input signal V2a ; Digital signal analysis circuit get output signal and the synchronized signal extracted V4 and V4-syn . The results for the performance will be the analysis and tests provide assistant method.

Key word:Digital signal;Low pass filter;Pseudo random signal;Synchronous signal

目录

1设计任务 (1)

1.1 要求 (1)

1.1.1基本要求 (1)

1.1.2 发挥部分 (2)

2数字信号分析电路的方案论证与选择方案论证 (2)

3理论分析与计算 (3)

3.1曼彻斯特编码 (3)

3.2 伪随机信号 (3)

4电路与程序设计 (5)

4.1电路的设计 (5)

4.1.1数字信号发生器及伪随机信号框图 (5)

4.1.2 低通滤波器原理及电路原理图 (5)

4.1.3 数字信号分析电路 (9)

4.2程序的设计 (10)

4.2.1数字信号发生器的程序设计流程图 (10)

4.2.2伪随机信号发生器的程序设计流程图 (11)

4.2.3数字信号分析电路的程序设计流程图 (11)

5测试方法与结果 (11)

5.1测试仪器 (11)

5.2测试方法 (11)

5.3 测试结果及分析 (12)

参考文献: (13)

附录1 数字信号发生器的部分源程序 (14)

附录2 伪随机信号发生器的部分源程序 (15)

1 设计任务

设计一个简易数字信号传输性能分析仪,实现数字信号传输性能测试;同时,设计三个低通滤波器和一个伪随机信号发生器用来模拟传输信道。简易数字信号传输性能分析仪的框图如图1所示。图中,V 1和V 1-clock 是数字信号发生器产生的数字信号和相应的时钟信号;V 2是经过滤波器滤波后的输出信号;V 3是伪随机信号发生器产生的伪随机信号;V 2a 是V 2信号与经过电容 C 的V 3信号之和,作为数字信号分析电路的输入信号;V 4和V 4-syn 数字信号分析电路输出的信号和提取的同步信号。

1.1 要求

1.1.1 基本要求

(1)设计并制作一个数字信号发生器:

a )数字信号V 1为8

4

3

2

1)(x x x x x f ++++=的m 序列,其时钟信号为V 1-clock ; b )数据率为10~100kbps ,按10kbps 步进可调。数据率误差绝对值不大于1%; c )输出信号为TTL 电平。

(2)设计三个低通滤波器,用来模拟传输信道的幅频特性: a )每个滤波器带外衰减不少于40dB /十倍频程;

b )三个滤波器的截止频率分别为100kHz 、200kHz 、500kHz ,截止频率误差绝对值不大于10%;

c )滤波器的通带增益A F 在0.2~4.0范围内可调。 (3)设计一个伪随机信号发生器用来模拟信道噪声: a )伪随机信号V 3为12

5

4

1)(x x x x x f ++++=的m 序列; b )数据率为10Mbps ,误差绝对值不大于1%;

c )输出信号峰峰值为100mV ,误差绝对值不大于10% 。

简易数字信号传输性能分析仪框图

(4)利用数字信号发生器产生的时钟信号V 1-clock 进行同步,显示数字信号V 2a 的信号眼图,并测试眼幅度。 1.1.2 发挥部分

(1)要求数字信号发生器输出的V 1采用曼彻斯特编码。

(2)要求数字信号分析电路能从V 2a 中提取同步信号V 4-syn 并输出;同时,利用所提取的同步信号V 4-syn 进行同步,正确显示数字信号V 2a 的信号眼图。

(3)要求伪随机信号发生器输出信号V 3幅度可调,V 3的峰峰值范围为100mV~TTL 电平。 (4)改进数字信号分析电路,在尽量低的信噪比下能从V 2a 中提取同步信号V 4-syn ,并正确显示V 2a 的信号眼图。 (5)其他。

2 数字信号分析电路的方案论证与选择方案论证

在数字信号分析电路中,时钟分离模块是非常重要的组成部分。下面对其方案进行论证选择。

方案一:

常用时钟分离模块电路如图1所示。

该模块将曼彻斯特码自带的时钟信号分离,得到clk2_en ,并在该信号有效(高电平)时采样,经过三级寄存器保持输出的曼彻斯特码信号rx_data_reg_2。采样时刻总出现在每个码元正负电平的中点处,而这也是曼彻斯特码采样的最佳时刻。

方案二:选择远大于波特率的时钟作为计数脉冲,分别对曼彻斯特码信号的每个高低电平期间进行计数,然后选择计数值中较小计数值,则此值描述了同步时钟的半周期。 该方案简单易懂,且容易采用Quartus II 开发软件在FPGA 芯片上实现。

set

rx_data_reg_0 rx_data_reg_1

edge_check

rx_data_reg_2

rx_dat e ≥1

clk 图1 常用时钟分离模块电路图

cnt_clk2 计数模块

DFF3

DFF1 时钟产生

clk2_en

比较器

DFF2 SET

D Q

CLR Q SET

D Q

CLR Q SET

D

Q

CLR Q

综合以上两种方案,选择方案二。

3理论分析与计算

3.1曼彻斯特编码

曼彻斯特编码(Manchester Encoding ),也叫做相位编码(PE ),是一个同步时钟编码技术,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码提供一个简单的方式给编码简单的二进制序列而没有长的周期没有转换级别,因而防止时钟同步的丢失,或来自低频率位移在贫乏补偿的模拟链接位错误。在这个技术下,实际上的二进制数据被传输通过这个电缆,不是作为一个序列的逻辑1或0来发送的(技术上叫做反向不归零制(NRZ ))。相反地,这些位被转换为一个稍微不同的格式,它通过使用直接的二进制编码有很多的优点。

在曼彻斯特编码中,每一位的中间有一跳变,位中间的跳变既作时钟信号,又作数据信号;从高到低跳变表示"1",从低到高跳变表示"0"。还有一种是差分曼彻斯特编码,每位中间的跳变仅提供时钟定时,而用每位开始时有无跳变表示"0"或"1",有跳变为"0",无跳变为"1"。各种曼彻斯特编码模式的不同时序如图2所示。

3.2 伪随机信号

在通信系统中,随机噪声会使模拟信号产生失真、数字信号出现误码。并且,它还是限制信道容量的一个重要因素。因此,人们经常希望消除或减小通信系统中的随机噪声。另一方面,有时人们会希望获得随机噪声。例如,在实验室中对通信设备或系统性能进行测试时,可能要故意加入一定的随机噪声。又如,为了实现可靠的保密通信,也希望利用随机噪声。为了上述目的,必须能够获得符合要求的随机噪声。

伪随机噪声具有类似于随机噪声的某些统计特性,同时又能够重复产生。由于它具有随机噪声的优点,又避免了随机噪声的缺点,因此获得了日益广泛的实际应用。目前广泛应用的伪

图2 各种曼彻斯特编码模式的不同时序

随机噪声都是由周期性数字序列经过滤波等处理后得出的。这种周期性数字序列称为伪随机序列,有时又称为伪随机信号和伪随机码。

m 序列是最长线性反馈移位寄存器序列的简称。它是由带线性反馈的移存器产生的周期最长的序列。我们常常希望用尽可能少的级数产生尽可能多长的序列。一般来说,一个n 级线性反馈移存器可能产生的最长周期等于(2n -1)。线性反馈移位寄存器原理方框图如图3。

由于带有反馈,因此在移位脉冲作用下,移位寄存器各级的状态将不断变化,通常移位寄存器的最后一级做输出,输出序列为{}......110-=n k a a a a 。

输出序列是一个周期序列。其特性由移位寄存器的级数、初始状态、反馈逻辑以及时钟速率(决定着输出码元的宽度)所决定。当移位寄存器的级数及时钟一定时,输出序列就由移位寄存器的初始状态及反馈逻辑完全确定。当初始状态为全零状态时,移位寄存器输出全0序列。为了避免这种情况,需设置全0排除电路。

设一个n 级移存器的初始状态为:a -1 a -2 a -3……a -n ,经过1次移位后,状态变为a 0 a -1……a -n+1。经过n 次移位后,状态为a n-1 a n-2……a 0,再移位1次时,移存器左端新得到的输入a n ,如下所示,可以写为:

∑=----=⊕⊕⊕⊕=n

i i

n i n n n n n a c a c a c a c a c a 1

0112211

i c 的取值决定了移位寄存器的反馈连接和序列的结构,故i c 是一个很重要的参量。用特征方

程表示为:

∑==++++=n

i i

i n

n x c x c x c x c c x f 1

2

210)(

根据题目的要求,v 1信号的产生,其特征方程为:

84321)(x x x x x f ++++=

则:

C 0=C 2=C 3=C 4=C 8=1,C 1=C 5=C 6=C 7=0

而v 3信号的特征方程为:

a n-2

C 0=1

输出 a k

图3 线性反馈移位寄存器原理方框图

a n-1 a

1 a 0

C n =1

C n-1

C 2

C 1

13431)(x x x x x f ++++=

可得到:

C 0=C 1=C 3=C 4=C 13=1,其余Ci 均为0

即:

C 2=C 5=C 6=C 7=C 8=C 9=C 10=C 11=C 12=0

因此按照这一特征方程可构成的反馈移存器,根据所构成的反馈移存器,通过编写VHDL 语言产生m 序列。

4电路与程序设计

4.1电路的设计

4.1.1数字信号发生器及伪随机信号框图 数字信号发生器框图如图4所示。

4.1.2 低通滤波器原理及电路原理图

在测量与控制系统中,传感器输出的信号一般需要经过滤波放大后才能进行后续处理,滤波是信号处理系统不可缺少的环节之一。滤波器就是选频电路,能选择性地通过或阻止(抑制)某频段信号的电路,在数据采集、信号处理和通信系统等领域具有重要作用。根据其通过(或阻止)信号的频率可分为低通滤波器、高通滤波器、带通滤波器和带阻滤波器。低通滤波器是容许所有低于截止频率的信号通过,但高于截止频率的信号不能通过的电子滤波装置,可以用来滤除高于截止频率的信号谐波成分和干扰信号。

图4 数字信号发生器框图

PIN_23

VCC

clk

INPUT PIN_61

VCC

load

INPUT PIN_60

VCC

key s1

INPUT PIN_191

qb

OUTPUT PIN_173

10mout

OUTPUT

PIN_181

v 1clock

OUTPUT

PIN_176

v 3

OUTPUT clk

clk_1clk_2clk_3clk_4clk_5clk_6clk_7clk_8clk_9clk_10

f en

inst

CLK LOAD CLK1QB

QB1

SHFRT

inst1

Stratix

inclk0 f requency : 50.000 MHz Operation Mode: Normal Clk Ratio Ph (dg)DC (%)c0

1/5

0.00

50.00

inclk0

c0

pll

inst4

key _in clk_in

clk_out

pl

inst2

本设计主要通过Filter Solutions Trial10.0软件确定滤波器的阶数n 及其参数与波形图;显然一阶滤波器是无法达到要求的,考虑到误差与电路的简洁选用低通二阶Chebyshev 滤波器。

(1)截止频率为100kHz 的滤波器 a )电路图如图5所示

b )时域响应图如图6所示

c )频域响应图如图7所示

图6 截止频率为100kHz 的滤波器时域响应特性

图5 截止频率为100kHz 的滤波器原理图

(2)截止频率为200kHz 的滤波器 a )电路图如图8所示

b )时域响应图如图9所示

c )频域响应图如图10所示

图8 截止频率为200kHz 的滤波器原理图

图7 截止频率为100kHz 的滤波器频域响应特性

图9 截止频率为200kHz 的滤波器时域响应特性

(3)截止频率为500kHz 的滤波器 a )电路图如图11所示

b )时域响应图如图12所示

图10 截止频率为200kHz 的滤波器频域响应特性

图11 截止频率为500kHz 的滤波器原理图

c )频域响应图如图13所示

4.1.3 数字信号分析电路

在数字信号分析电路中,TLC0820AC 的作用是将输入的模拟信号转换为8位数字信号输出到数码管。通过数码管的亮暗变化观察经过低通滤波器的输出信号。TLC0820AC 是德州仪器公司推出的采用先进LinCMOS 工艺制造的8位A/D 转换器,它由2个4位的闪速(FLASH )转换器,1个4 位的数模转换器,1个加法(误差)放大器,控制逻辑电路和结果锁存电路组成。TLC0820AC 引脚排列如图14所示。

图13 截止频率为500kHz 的滤波器频域响应特性

图12 截止频率为500kHz 的滤波器时域响应特性

TLC0820AC 引脚功能如表1所示。

表1 TLC0820AC 引脚功能

引脚号 名 称 I/O 说明 1 ANLGLN

I 模拟输入端

13 CS

I 片选端,CS 须保持低电平以便ADC 识别RD 或WR

2 D0 O 数据端,3态数据输出,位0(LSB )

3 D1 O 数据端,3态数据输出,位1

4 D2 O 数据端,3态数据输出,位2

5 D3 O 数据端,3态数据输出,位3 14 D4 O 数据端,3态数据输出,位4 15 D5 O 数据端,3态数据输出,位5 1

6 D6 O 数据端,3态数据输出,位6

17 D7 O 数据端,3态数据输出,位7(MSB )

10 GND

O 地 9

INT

O

中断

7 MODE I

方式选择。MODE 通过类似下拉电阻的50A 电流源与

GND 相连。当MODE 低电平选择读方式。当MODE 高电平选择写-读方式

4.2程序的设计

4.2.1数字信号发生器的程序设计流程图

数字信号发生器的程序设计流程图如图15所示。

图14 TLC0820AC 引脚排列图

输出数字信号V

利用截止频率100KHz 的低通滤波器进行滤波

利用NRZ 码和Clock 时钟异或实现曼彻斯特编码

利用移位寄存器产生数字信号m 序列

4.2.2伪随机信号发生器的程序设计流程图

伪随机信号发生器的程序设计流程图如图16所示。

4.2.3 数字信号分析电路的程序设计流程图

设DIN 是数据输入,Count0对低电平计数,Count1对高电平计数,Count 为Count0 和Count1两者之间的最小值,通过以count 为范Plus 是最终输出的同步时钟。提取同步时钟的程序设计流程图如图17所示。 提取同步时钟的算法步骤如下:第一步首先利用

10MHZ 的时钟对接收信号进行采样,当10MHZ 信号出现上升沿时对输入信号进行采样计数,分别对高电平和低电平持续时间进行分析,利用计数程序计算高电平和低电平的时间。第二步求出两个时间中的最小值。第三步利用最小值来计数,利用分频原理来实现对同步时钟的提取。

5测试方法与结果

5.1测试仪器

测试仪器:模拟示波器;信号发生器;数字万用表;直流稳压电源

5.2测试方法

1、硬件测试

低通滤波器测试:分别接通截止频率为100KHz 、200KHz 和500KHz 的低通滤波器,将

Count0计数

Count1计数

N

Y

Count

Count0

Count

Count1

N Y Count0>Count1

延时T*count Plus 求反 Plus 输出

即为同步脉冲

DIN=1

图17 提取同步时钟的程序设计流程图

利用移位寄存器产生噪

声m 序列

利用5KHz 电位器调节噪声增益 通过0.1uF 的电容对噪声信号进行处理

输出噪声

图16 伪随机信号发生器的程序设计流程图

输入频率设置为对应的截止频率,输出端(即V2)用示波器测量,调节电位器使滤波器的通带增益在0.2~4.0范围内变化。

TLC0820测试:接通TLC0820改变输入幅值,观察数码管的亮暗变化情况。

2、软件仿真测试

数字信号发生器的输出信号仿真图如图18 所示。

图18 数字信号发生器的输出信号的仿真图

提取同步时钟的仿真图如图19所示。

图19提取同步时钟的仿真图

3、硬件软件联调

使用STC-ISP V4.80软件将程序下载至FPGA芯片,通过示波器测量相关数据。5.3测试结果及分析

根据上述测试数据,由此可以得出以下结论:

1、本设计可以产生符合要求的信号及噪声并实现曼彻斯特编码。

2、设计的三个低通滤波器可以满足截止频率及通带增益。

3、接收模块可提取曼彻斯特编码的同步信号,并实现解码。

综上所述,本设计达到设计要求。

参考文献:

[1]高吉祥. 数字系统与自动控制系统设计[M]. 北京: 电子工业出版社,2007

[2]樊昌信,曹丽娜. 通信原理[M]. 北京: 国防工业出版社,2010

[3]潘松,黄继业. EDA技术与VHDL. 北京清华大学出版社,2009

[4]王振红,张常年. 全国大学生电子设计竞赛赛前训练题精选. 北京: 化学工业出版社,2010

[5]蒲海,陈自力,胡玫荣. 基于CPLD的高速m序列码发生器的设计[J]. 微计算机信息,2005

[6]束礼宝,宋克柱. 伪随机数发生器的FPGA实现与研究[J] 电路与系统学报,2003

[7]赵林军. 基于VHDL可编程m序列发生器的研制[J]. 现代电子技术,2004

[8]潘松,黄继业等. 现代DSP技术[M]. 西安: 西安电子科技大学出版社,2003

[9]黄智伟. 全国大学生电子设计竞赛训练教程[M]. 北京: 电子工业出版社,2010

[10]王划一,杨西侠.自动控制原理[M].北京:国防工业出版社,2010

IF CLK'EVENT AND CLK ='1' THEN

IF LOAD ='0' THEN REG8 :="11111111";

ELSE

REGL :=REG8(6)XOR REG8(5) XOR REG8(4) XOR REG8(0);

REGH :=REG8(0);

REG8(6 DOWNTO 0) :=REG8(7 DOWNTO 1);

REG8(7) :=REGL;

END IF;

END IF;

QB<=REGH XOR CLK;

ZAOSHEN: PROCESS (CLK1,LOAD)

VARIABLE REG12: STD_LOGIC_VECTOR(11 DOWNTO 0);

VARIABLE REG12L: STD_LOGIC;

VARIABLE REG12H: STD_LOGIC;

BEGIN

IF CLK1'EVENT AND CLK1 ='1' THEN

IF LOAD ='0' THEN REG12 :="111111111111";

ELSE

REG12L :=REG12(11)XOR REG12(8) XOR REG12(7) XOR REG12(0);

REG12H :=REG12(0);

REG12(10 DOWNTO 0) :=REG12(11 DOWNTO 1);

REG12(11) :=REG12L;

END IF;

END IF;

QB1<=NOT(REG12H);

END PROCESS ZAOSHEN;

END behave;

信号与系统

信号与系统 单项选择题 1、 ( ) 1. D. x(t) 2. -x(t) 3. x(0) 4. -x(0) 2、设是带限信号, rad/s,则对进行均匀采样的最大间隔为( ) 1. 0.2s 2. 0.5s 3. 0.1s 4. 0.3s 3、下列信号中属于数字信号的是()。 1. 2. 3. 4. 4、设系统输入输出关系为y(t)=x(t)cos(t) ,则系统为()。 1.因果稳定

2.非因果稳定 3.因果不稳定 4.非因果不稳定 5、关于无失真传输的充要条件,下列叙述中正确的是()。 1.系统的幅频特性为常数 2.系统的相频特性与频率成正比 3. 4. 6、 1. 0 2. 1 3.无穷大 4.不存在 7、 1. 2. 1 3. 4.无法确定 8、关于数字频率,下列表达中错误的是() 1.数字频率的高频为π附近

2.数字频率的低频为0和2π附近 3.数字频率为模拟频率对采样频率归一化的频率 4.数字频率的单位为Hz 9、 1. 2. 3. 4. 10、关于三个变换之间的关系,下列叙述错误的是()。 1.若原信号收敛,虚轴上的拉氏变换就是傅里叶变换 2. s域的左半平面映射到z域的单位圆内部

3.从s域到z域的映射是单值映射 4. s域的右半平面映射到z域的单位圆外部 11、关于信号的分解,下列叙述正确的是() 1.傅里叶级数是一致性意义下的正交分解 2.任意普通信号可分解为冲激函数的叠加,可用卷积形式来描述 3.信号能分解为实分量和虚部分量,故可对信号进行滤波 4.由于信号的可分解性,故在时域中可用冲激响应来表征系统12、 1. 2 2. 4 3. -2 4. -4 13、 1. 2. 3. 4. 14、关于稳定性的描述,下列叙述中错误的是()。

数字信号处理知识点总结

《数字信号处理》辅导 一、离散时间信号和系统的时域分析 (一) 离散时间信号 (1)基本概念 信号:信号传递信息的函数也是独立变量的函数,这个变量可以是时间、空间位置等。 连续信号:在某个时间区间,除有限间断点外所有瞬时均有确定值。 模拟信号:是连续信号的特例。时间和幅度均连续。 离散信号:时间上不连续,幅度连续。常见离散信号——序列。 数字信号:幅度量化,时间和幅度均不连续。 (2)基本序列(课本第7——10页) 1)单位脉冲序列 1,0()0,0n n n δ=?=?≠? 2)单位阶跃序列 1,0 ()0,0n u n n ≥?=?≤? 3)矩形序列 1,01 ()0,0,N n N R n n n N ≤≤-?=?<≥? 4)实指数序列 ()n a u n 5)正弦序列 0()sin()x n A n ωθ=+ 6)复指数序列 ()j n n x n e e ωσ= (3)周期序列 1)定义:对于序列()x n ,若存在正整数N 使()(),x n x n N n =+-∞<<∞ 则称()x n 为周期序列,记为()x n ,N 为其周期。 注意正弦周期序列周期性的判定(课本第10页) 2)周期序列的表示方法: a.主值区间表示法 b.模N 表示法 3)周期延拓 设()x n 为N 点非周期序列,以周期序列L 对作()x n 无限次移位相加,即可得到周期序列()x n ,即 ()()i x n x n iL ∞ =-∞ = -∑ 当L N ≥时,()()()N x n x n R n = 当L N <时,()()()N x n x n R n ≠ (4)序列的分解 序列共轭对称分解定理:对于任意给定的整数M ,任何序列()x n 都可以分解成关于/2c M =共轭对称的序列()e x n 和共轭反对称的序列()o x n 之和,即

模拟信号和数字信号的特点分别是什么

模拟信号和数字信号的特点分别是什么

第一章 复 习 题 1、模拟信号和数字信号的特点分别是什么? 2、设数字信号码元时间长度为1s μ,如采用四电平传输,求信息传输速率及符号速率。 3、接上题,若传输过程中2秒误1个比特,求误码率。 4、假设频带宽度为1024kHz ,可传输2048s kbit /的比特率,试问其频带利用率为多少? 第一章 复习题答案 1、答:模拟信号的特点是幅度取值是连续的。 数字信号的特点是幅度取值是离散的。 2、答:符号速率为 Bd t N B B 661010 1 1=== - 信息传输速率为 s Mbit s bit M N R B b /2/1024log 10log 6262=?=?== 3、答:误码率=发生误码个数/传输总码元数 7 6 105.210221-?=??= 4、答: Hz s bit //21010241020483 3 =??==频带宽度信息传输速率η 第二章 复 习 题 1、某模拟信号频谱如题图2.1所示,求满足抽样定理时的抽样频率s f 。若kHz f s 10=,试 画出抽样信号的频谱,并说明此频谱出现什么现

象? 2、画出9=l 的均匀量化信噪比曲线(忽略过载区内的量化噪声功率)。 3、画出6.87,7==A l 的A 律压缩特性的非均匀量化信噪比曲线。 4、为什么A 律压缩特性一般A 取87.6。 5、A 律13折线编码器,8=l ,一个样值为? =93S i ,试 将其编成相应的码字,并求其编码误差与解码误 差。 6、A 律13折线编码器,8=l ,过载电压mV U 4096=,一个样值为mV u S 796-=,试将其编成相应的码字,并求 其编码电平与解码电平。 第二章 复 习 题 答 案 1、kHz f f B kHz f kHz f M M 415,5,10 =-=-=== B f <0 ∴此信号为低通型信号 满足抽样定理时,应有 kHz f f M s 10522=?=≥

信号与系统(含数字信号处理)考试大纲

黑龙江大学硕士研究生入学考试大纲 考试科目名称:信号与系统(含数字信号处理)考试科目代码:[081] 一、考试要求 《信号与系统》是电子信息工程、通信工程、自动化等大学本科专业必修的一门重要的专业基础课,主要考查考生对该课程的基本概念、基础理论、基本分析方法等知识掌握的程度,以及运用所学理论知识分析问题解决问题的能力。 要求考生熟悉确定信号的特性和线性时不变系统的基本理论,信号通过线性系统的基本分析方法及某些典型信号通过某些典型系统引出的一些重要概念。 二、考试内容 第一章信号与系统的基本概念 第一节信号的描述、分类及表示; 第二节信号的运算与分解; 第三节阶跃信号与冲激信号的表示与特性; 第四节系统的基本概念与分类; 第五节线性时不变系统的特性与分析方法,系统性质的判定; 知识点:信号的运算及阶跃信号与冲激信号的特性,理解掌握和运用系统分析方法。 第二章连续时间系统的时域分析 状态的转换; 第一节线性系统微分方程式的建立与求解,起始点的跳变---从0-到0 +第二节系统全响应的两种分解形式:自由响应和强迫响应,零输入响应和零状态 响应; 第三节系统的单位冲激响应和单位阶跃响应的概念及求解; 第四节信号的时域分解和卷积积分的定义、性质、计算; 第五节卷积积分法求解线性时不变系统的零状态响应。 知识点:要求熟悉描述线性时不变系统的数学模型(线性常系数微分方程)并掌握其求解方法;重点掌握零输入响应,零状态响应和全响应的概念;理解阶跃函数和冲激函数,会计算冲激响应和阶跃响应,能计算二个简单函数的卷积积分和利用卷积积分计算零状态响应。 第三章傅里叶变换 第一节周期信号的傅立叶级数分析,典型周期信号的傅立叶级数; 第二节傅立叶变换,典型非周期信号的傅立叶变换,冲激函数和阶跃函数的傅立叶

数字信号平均模拟实验(10-5)

1 近代物理实验补充教材 10.5 数字信号平均模拟实验 平均技术是微弱信号检测的常见手段之一。它利用时域的取样和同步积累方法,恢复被噪声埋没的周期重复的微弱信号。按照信号处理方式的不同,平均技术可以分为采用模拟电路的取样积分和采用计算机处理的数字信号平均两种方式。采用取样平均方法的BOXCAR 积分器的教学实验已经在很多高校使用。数字信号平均技术在许多专用的设备中(例如脉冲式核磁共振谱仪)中应用广泛,而相应的教学实验并不多见。本实验采用C(C++)语言编程,进行数字信号的计算机仿真实验。 1 实验原理 噪声,指的是干扰测量信号的各种电扰动。通常包括两类: A.系统内部热噪声;B.环境的杂散电波干扰。噪声一般是随机的。信号是有规律的,能够重复的,后续信号与早先信号是有关联的,可以用一个时间函数来描述它的规律性。噪声和信号叠加在一起,会干扰信号,使信号失真。 信号取样平均技术可抑制噪声的干扰。取样过程中的有用信号,一般来说是周期性重复的,经多次取样积累有加强的性质,对噪声来说具有减弱的趋势。设信号与噪声混合表示为[1]: )()()(t N t S t f += 式中)(t S 为周期信号,)(t N 为噪声。被测信号)(t f 是信号与噪声的合成。实验中多点平均每隔T 秒全部取样一次,其中第k 点(全部取样点的任一点)的第i 次取样值为: )()()(T i t N T i t S T i t f k k k *++*+=*+ 将此取样值与相同点的前)1(-i 次取样值作线性累加。经过m 次的重复后,第k 点信号的积累值为: ∑=*+m i k T i t f 1 )()= ∑=*+m i k T i t S 1 )( +∑=*+m i k T i t N 1 )( 其中噪声: ∑=*+m i k T i t N 1 )(= )(t N m * 式中)(t N 为噪声的有效值。 对于周期信号来说,由于是在同步状态下取样,因此经过m 次积累为: ∑=*+m i k T i t S 1 )( =)(T i S m ** 经过m 次的重复后,信噪比为改善为:SNIR=m

模拟信号和数字信号的对比

模拟信号是将源信号的一些特征未经编码直接通过载波的方式发出,是连续的数字信号则是通过数学方法对原有信号进行处理,编码成二进制信号后,再通过载波的方式发送编码后的数字流,是离散的特点:模拟信号:将26个字母对应26种不同的颜色要传递时用不同颜色的滤光片改变电筒射出的光的颜色这里就会表现出模拟信号不可靠(容错性差、易受干扰)的缺点人对颜色的识别可能会有偏差大气对不同颜色的光线吸收程度不同数字信号:将26个字母编码成二进制数字(可参考莫尔斯电码)通过电筒光线的闪烁来传递信号由于光线的闪烁很容易分辨且不容易受到干扰这个通信方案的可靠性就比模拟信号更强模拟信号指幅度的取值是连续的(幅值可由无限个数值表示)。时间上连续的模拟信号连续变化的图像(电视、传真)信号等,时间上离散的模拟信号是一种抽样信号,数字信号指幅度的取值是离散的,幅值表示被限制在有限个数值之内。二进制码就是一种数字信号。二进制码受噪声的影响小,易于有数字电路进行处理,所以得到了广泛的应用。1.模拟通信模拟通信的优点是直观且容易实现,但存在两个主要缺点。(1)保密性差模拟通信,尤其是微波通信和有线明线通信,很容易被窃听。只要收到模拟信号,就容易得到通信内容。(2)抗干扰能力弱电信号在沿线路的传输过程中会受到外界的和通信系统内部的各种噪声干扰,噪声和信号混合后难以分开,从而使得通信质量下降。线路越长,噪声的积累也就越多2.数字通信(1)数字化传输与交换的优越性①加强了通信的保密性。②提高了抗干扰能力。数字信号在传输过程中会混入杂音,可以利用电子电路构成的门限电压(称为阈值)去衡量输入的信号电压,只有达到某一电压幅度,电路才会有输出值,并自动生成一整齐的脉冲(称为整形或再生)。较小杂音电压到达时,由于它低于阈值而被过滤掉,不会引起电路动作。因此再生的信号与原信号完全相同,除非干扰信号大于原信号才会产生误码。为了防止误码,在电路中设置了检验错误和纠正错误的方法,即在出现误码时,可以利用后向信号使对方重发。因而数字传输适用于较远距离的传输,也能适用于性能较差的线路。③可构建综合数字通信网。采用时分交换后,传输和交换统一起来,可以形成一个综合数字通信网。(2)数字化通信的缺点①占用频带较宽。因为线路传输的是脉冲信号,传送一路数字化语音信息需占20?64kHz的带宽,而一个模拟话路只占用4kHz带宽,即一路PCM信号占了几个模拟话路。对某一话路而言,它的利用率降低了,或者详它对线路的要求提高了。②技术要求复杂,尤其是同步技术要求精度很高。接收方要能正确地理解发送方的意思,就必须正确地把每个码元区分开来,并且找到每个信息组的开始,这就需要收发双方严格实现同步,如果组成一个数字网的话,同步问题的解决将更加困难。③进行模/数转换时会带来量化误差。随着大规模集成电路的使用以及光纤等宽频带传输介质的普及,对信息的存储和传输,越来越多使用的是数字信号的方式,因此必须对模拟信号进行模/数转换,在转换中不可避免地会产生量化误差数字信号与模拟信号的区别不在于该信号使用哪个波段(C、KU)进行转发,而在于信号采用何种标准进行传输。如:亚卫2号C波段转发器上是我国省区卫星数字电视节目,它所采用的标准是MPEG-2-DVBS。数字信号与模拟信号的区别不在于该信号使用哪个波段(C、KU)进行转发,而在于信号采用何种标准进行传输。如:亚卫2号C波段转发器上是我国省区卫星数字电视节目,它所采用的标准是MPEG-2-DVBS。模拟信号与数字信号(1)模拟信号与数字信号不同的数据必须转换为相应的信号才能进行传输:模拟数据一般采用模拟信号(AnalogSignal),例如用一系列连续变化的电磁波(如无线电与电视广播中的电磁波),或电压信号(如电话传输中的音频电压信号)来表示;数字数据则采用数字信号(DigitalSignal),例如用一系列断续变化的电压脉冲(如我们可用恒定的正电压表示二进制数1,用恒定的负电压表示二进制数0),或光脉冲来表示。当模拟信号采用连续变化的电磁波来表示时,电磁波本身既是信号载体,同时作为传输介质;而当模拟信号采用连续变化的信号电压来表示时,它一般通过传统的模拟信号传输线路(例如电话网、有线电视网)来传输。当数字信号采用断

模拟信号和数字信号的优缺点

模拟信号和数字信号的优缺点 模拟信号好还是数字信号好,很多人都会说数字信号,但为 什么数字信号好呢?那就有相当一部分人答不出来了,究竟模拟信 号和数字信号的优缺点在哪呢? 模拟通信的优点是直观且容易实现,但存在两个主要缺点。 1)保密性差 模拟通信,尤其是微波通信和有线明线通信,很容易被窃听。只要收到模拟信号,就容易得到通信内容。 2)抗干扰能力弱 电信号在沿线路的传输过程中会受到外界的和通信系统内部 的各种噪声干扰,噪声和信号混合后难以分开,从而使得通信质量 下降。线路越长,噪声的积累也就越多 3)不适宜远距离传输 数字化传输优点 1)加强了通信的保密性。 2)提高了抗干扰能力。 3)可构建综合数字通信网。采用时分交换后,传输和交换 统一起来,可以形成一个综合数字通信网 4)适宜远距离传输

由于数字信号在传输过程中可以不断地通过整形和判决再生,因此它可以实现无噪声积累和无非线性失真的高质量长途传输。光 纤所具有的极宽传输带宽和极小传输损耗,使数字通信的广泛应用 成为可能。数字视频光传输与传统的模拟光传输相比,具有如下显 著特性: 1)可级联,随距离的增加,SNR信噪比不会下降。 2)由于是数字传输方式,采用数字编码纠错方式,具有高 稳定性和高可靠性。 3)多路信号同传时,采用数字时分复用技术(TMD),不会 产生模拟传输时的交调失真。 4)稳定性好,环境适应性高,比模拟传输系统易于维护与 调节。 5)易于实现大容量传输,且性价比高。 6)采用无压缩编码,图像信号质量高,达广播级。 在传输中,如视频监控,数据传输等,基本上都是由光端机 来进行的,而视频监控中采用最多的则是视频光端机这类传输设备。

模拟信号与数字信号之间的转换

模拟数据(Analog Data)是由传感器采集得到的连续变化的值,例如温度、压力,以及目前在电话、无线电和电视广播中的声音和图像。数字数据(Digital Data)则是模拟数据经量化后得到的离散的值,例如在计算机中用二进制代码表示的字符、图形、音频与视频数据。目前,ASCII美国信息交换标准码(American Standard Code for Information Interchange)已为ISO国际标准化组织和CCITT国际电报电话咨询委员会所采纳,成为国际通用的信息交换标准代码,使用7位二进制数来表示一个英文字母、数字、标点或控制符号;图形、音频与视频数据则可分别采用多种编码格式。 模拟信号与数字信号 (1)模拟信号与数字信号 不同的数据必须转换为相应的信号才能进行传输:模拟数据一般采用模拟信号(Analog Signal),例如用一系列连续变化的电磁波(如无线电与电视广播中的电磁波),或电压信号(如电话传输中的音频电压信号)来表示;数字数据则采用数字信号(Digital Signal),例如用一系列断续变化的电压脉冲(如我们可用恒定的正电压表示二进制数1,用恒定的负电压表示二进制数0),或光脉冲来表示。当模拟信号采用连续变化的电磁波来表示时,电磁波本身既是信号载体,同时作为传输介质;而当模拟信号采用连续变化的信号电压来表示时,它一般通过传统的模拟信号传输线路(例如电话网、有线电视网)来传输。当数字信号采用断续变化的电压或光脉冲来表示时,一般则需要用双绞线、电缆或光纤介质将通信双方连接起来,才能将信号从一个节点传到另一个节点。 (2)模拟信号与数字信号之间的相互转换 模拟信号和数字信号之间可以相互转换:模拟信号一般通过PCM脉码调制(Pulse Code Modulation)方法量化为数字信号,即让模拟信号的不同幅度分别对应不同的二进制值,例如采用8位编码可将模拟信号量化为2^8=256个量级,实用中常采取24位或30位编码;数字信号一般通过对载波进行移相(Phase Shift)的方法转换为模拟信号。计算机、计算机局域网与城域网中均使用二进制数字信号,目前在计算机广域网中实际传送的则既有二进制数字信号,也有由数字信号转换而得的模拟信号。但是更具应用发展前景的是数字信号。

信号与系统和数字信号处理

833-信号与系统和数字信号处理 一、考试目的 1. 信号与系统 考查学生是否掌握信号与线性系统的基本概念、基本理论和线性时不变连续(离散)系统的时域、变换域分析方法,以及相关的分析问题、解决问题的能力。 2. 数字信号处理 考察学生是否掌握数字信号处理的基本知识以及运用理论解决实际问题的能力。 二、考试要求 1. 信号与系统 掌握信号与系统的概念、表征、分类与判断;熟悉信号的分解与基本运算,特别是卷积积分(和)的定义、性质与运算;时域法会求LTI连续(离散)系统的各种响应;掌握连续(离散)信号各种变换域(FS、FT、LT,ZT、DTFT)分析法的定义、性质、反变换;并熟练应用于LTI连续(离散)系统分析;熟悉无失真传输、理想滤波器、系统的物理可实现条件、抽样定理、调制与解调的概念,掌握它们在系统分析中的应用;熟悉系统函数的概念、零极图表示,结合收敛域会判断系统的因果性、稳定性;掌握连续(离散)系统的频率响应,能大致画出系统的幅频特性,并说明其滤波性能;掌握状态方程与输出方程的概念、建立与求解;并能判断系统的稳定性、可控性与可观性。 2. 数字信号处理 掌握离散时间信号和系统分析的基本原理和基本分析方法;理解离散傅里叶变换的基本原理,运用离散傅里叶变换快速算法解决实际问题的能力;掌握数字滤波器的基本概念及结构。 三、考试内容与比例 1. 信号与系统(占70%) 1)连续(离散)信号的描述与分类;典型信号的定义、表征与性质;信号的分解、基本运算,特别是卷积积分(和)的定义、性质与运算;系统的概念、连接与分类。 2)线性连续(离散)系统的数学模型与算子表示;时域分析法求解LTI连续(离散)系统的自由响应、受迫响应,冲激响应、阶跃响应,零输入响应、零状态响应以及全响应,了解瞬态响应与稳态响应;连续(离散)LTI系统的模拟框图、特征函数与系统特性。 3)周期信号的傅立叶级数与频谱;周期信号、非周期信号以及抽样信号的傅立叶变换与频谱;能量谱与功率谱;线性连续系统的频域分析法,频率响应;无失真传输,理想滤波器,系统的物理可实现条件,抽样定理,调制与解调。

实验2 常见数字信号的产生与显示

实验2 常见数字信号的产生与显示 1.实验目的 复习MATLAB的使用方法和基本功能;熟悉单位冲激序列、单位阶跃序列、矩形序列和指数序列等常用序列的产生;掌握利用MATLAB画图函数显示信号波形的方法。 2.实例分析 2.1 常用离散序列的产生和图形显示 例1.1产生单位冲激序列 10 () 00 n n n δ = ? =? ≠ ? 在MATLAB中,函数zeros(1,N)产生一个N个零的行向量,利用它可以实现在有限区间上的单位冲激序列。按照之前学习过的MATLAB编程方法,将下列指令编辑到“exlimp.m”文件中。 % exe1imp.m 利用zeros(1,N)产生单位冲激序列 clear;%清除空间变量 clc;%清除屏幕 n=-49:49; %定义横坐标轴 x=zeros(1,99); %MATLAB中数组下标从1开始 x(50)=1; close all;%关闭所有窗口 stem(n,x); %绘制离散序列数据 title('单位冲激序列'); 运行“exe1imp.m”文件将产生如图1-1所示的序列。 例1.2产生单位阶跃序列 10 () 00 n u n n ≥ ? =? < ? 在MATLAB中,函数ones(1,N)产生一个N个1的行向量,利用它可以实现在有限区间上的单位阶跃序列。同样,将下列指令编辑到“exe1step.m”文件中。 % exe1step.m 利用ones(1,N)产生单位阶跃序列 n=-49:49; %定义横坐标 x=ones(1,99); % MATLAB中数组下标从1开始 x(1:49)=0;

close all; stem(n,x); %绘制离散序列数据 title('单位阶跃信号序列'); 运行“exe1step.m”文件将产生如图1-2所示的序列。 图2-1 单位冲激序列 图2-2 单位阶跃序列 例1.3产生矩形序列 101 () N n N R n else ≤≤- ? =? ?

数字信号与模拟信号的特点

信号数据可以用于表示任何信息,如符号、文字、语音、图像等,从表现形式上可归结为两类:模拟信号和数字信号。模拟信号与数字信号的区别可根据幅度取什是否离散来确定。模拟信号指幅度的取值是连续的(幅值可由无限个数值表示)。时间上连续的模拟信号连续变化的图像(电视、传真)信号等,如图1-1(a)所示。时间上离散的模拟信号是一种抽样信号,如图1-1(b)所示,它是对图1-1(a)的模拟信号每隔时间T抽样一次所得到的信号,虽然其波形在时间上是不连续的,但其幅度取值是连续的,所以仍是模拟信号,称之为脉冲幅度调制(PAM,简称脉幅调制)信号。 数字信号指幅度的取值是离散的,幅值表示被限制在有限个数值之内。二进制码就是一种数字信号。二进制码受噪声的影响小,易于有数字电路进行处理,所以得到广泛的应用。1.模拟通信 模拟通信的优点是直观且容易实现,但存在两个主要缺点。 (1)保密性差 模拟通信,尤其是微波通信和有线明线通信,很容易被窃听。只要收到模拟信号,就容易得到通信内容。 (2)抗干扰能力弱 电信号在沿线路的传输过程中会受到外界的和通信系统内部的各种噪声干扰,噪声和信号混合后难以分开,从而使得通信质量下降。线路越长,噪声的积累也就越多。 2.数字通信 (1)数字化传输与交换的优越性 ①加强了通信的保密性。语音信号经A/D变换后,可以先进行加密处理,再进行传输,在接收端解密后再经D/A变换还原成模拟信号。 数字加密处理可简单描述如下,Y1表示语音变成的数字信号Y1=1011101100001,采用8位密码C=10001101。在送到传输线路之前,将密码“加”到语音码中去,X=Y1+C(密码C连续重复),则传输的数字信号为 X=Y1+C=1011101100001 Y1 +1000110110001 C ————————————— 0011011010000 X 显然X≠Y1,即便有人窃听到X码,也不能马上得到Y1码。在接收端,只要再将相同密码C与数码X相加,就能丰碑成原来的语音数码Y1,即 Y1=X+C=0011011010000 X +1000110110001 C ————————————— 1011101100001 Y1 可见,语音数字化为加密处理提供了十分有利的条件,且密码的位数越多,破译密码就越困难。 ②提高了抗干扰能力。数字信号在传输过程中会混入杂音,可以利用电子电路构成的门限电压(称为阈值)去衡量输入的信号电压,只有达到某一电压幅度,电路才会有输出值,并自动生成一整齐的脉冲(称为整形或再生)。较小杂音电压到达时,由于它低于阈值而被过

模拟信号与数字信号的区别

主要是与离散的数字信号相对的连续的信号。模拟信号分布于自然界的各个角落,如每天温度的变化,而数字信号是人为的抽象出来的在时间上不连续的信号。电学上的模拟信号是主要是指幅度和相位都连续的电信号,此信号可以被模拟电路进行各种运算,如放大,相加,相乘等。 模拟信号是指用连续变化的物理量表示的信息,其信号的幅度,或频率,或相位随时间作连续变化, 如目前广播的声音信号,或图像信号等。 模拟信号与数字信号的区别 (1)模拟信号与数字信号 不同的数据必须转换为相应的信号才能进行传输:模拟数据一般采用模拟信号(Analog Signal),例如用一系列连续变化的电磁波(如无线电与电视广播中的电磁波),或电压信号(如电话传输中的音频电压信号)来表示;数字数据则采用数字信号(Digital Signal),例如用一系列断续变化的电压脉冲(如我们可用恒定的正电压表示二进制数1,用恒定的负电压表示二进制数0),或光脉冲来表示。当模拟信号采用连续变化的电磁波来表示时,电磁波本身既是信号载体,同时作为传输介质;而当模拟信号采用连续变化的信号电压来表示时,它一般通过传统的模拟信号传输线路(例如电话网、有线电视网)来传输。当数字信号采用断续变化的电压或光脉冲来表示时,一般则需要用双绞线、电缆或光纤介质将通信双方连接起来,才能 将信号从一个节点传到另一个节点。 (2)模拟信号与数字信号之间的相互转换 模拟信号和数字信号之间可以相互转换:模拟信号一般通过PCM脉码调制(Pulse Code Modulatio n)方法量化为数字信号,即让模拟信号的不同幅度分别对应不同的二进制值,例如采用8位编码可将模拟信号量化为2^8=256个量级,实用中常采取24位或30位编码;数字信号一般通过对载波进行移相(P hase Shift)的方法转换为模拟信号。计算机、计算机局域网与城域网中均使用二进制数字信号,目前在计算机广域网中实际传送的则既有二进制数字信号,也有由数字信号转换而得的模拟信号。但是更具应用 发展前景的是数字信号。 模拟信号的数字传输 图所示为一简单增量调制的仿真实验原理图。图中的话音信号源采用了一个高斯噪声源经过3KHz低通滤波器后的输出来模拟。调整图中的图符5的增益可以改变差值Δ的大小。在接收端,解调器未使用与本地

《大牛讲解信号与系统以及数字信号处理》

《大牛讲解信号与系统以及数字信号处理》 第一课什么是卷积卷积有什么用什么是傅利叶变换什么是拉普拉斯变换 引子 很多朋友和我一样,工科电子类专业,学了一堆信号方面的课,什么都没学懂,背了公式考了试,然后毕业了。 先说"卷积有什么用"这个问题。(有人抢答,"卷积"是为了学习"信号与系统"这门课的后续章节而存在的。我大吼一声,把他拖出去枪毙!) 讲一个故事: 张三刚刚应聘到了一个电子产品公司做测试人员,他没有学过"信号与系统"这门课程。一天,他拿到了一个产品,开发人员告诉他,产品有一个输入端,有一个输出端,有限的输入信号只会产生有限的输出。 然后,经理让张三测试当输入sin(t)(t<1秒)信号的时候(有信号发生器),该产品输出什么样的波形。张三照做了,花了一个波形图。 "很好!"经理说。然后经理给了张三一叠A4纸: "这里有几千种信号,都用公式说明了,输入信号的持续时间也是确定的。你分别测试以下我们产品的输出波形是什么吧!" 这下张三懵了,他在心理想"上帝,帮帮我把,我怎么画出这些波形图呢?" 于是上帝出现了: "张三,你只要做一次测试,就能用数学的方法,画出所有输入波形对应的输出波形"。 上帝接着说:"给产品一个脉冲信号,能量是1焦耳,输出的波形图画出来!" 张三照办了,"然后呢?" 上帝又说,"对于某个输入波形,你想象把它微分成无数个小的脉冲,输入给产品,叠加出来的

结果就是你的输出波形。你可以想象这些小脉冲排着队进入你的产品,每个产生一个小的输出,你画出时序图的时候,输入信号的波形好像是反过来进入系统的。" 张三领悟了:" 哦,输出的结果就积分出来啦!感谢上帝。这个方法叫什么名字呢?" 上帝说:"叫卷积!" 从此,张三的工作轻松多了。每次经理让他测试一些信号的输出结果,张三都只需要在A4纸上做微积分就是提交任务了! ---------------------------------------- 张三愉快地工作着,直到有一天,平静的生活被打破。 经理拿来了一个小的电子设备,接到示波器上面,对张三说: "看,这个小设备产生的波形根本没法用一个简单的函数来说明,而且,它连续不断的发出信号!不过幸好,这个连续信号是每隔一段时间就重复一次的。张三,你来测试以下,连到我们的设备上,会产生什么输出波形!" 张三摆摆手:"输入信号是无限时长的,难道我要测试无限长的时间才能得到一个稳定的,重复的波形输出吗?" 经理怒了:"反正你给我搞定,否则炒鱿鱼!" 张三心想:"这次输入信号连公式都给出出来,一个很混乱的波形;时间又是无限长的,卷积也不行了,怎么办呢?" 及时地,上帝又出现了:"把混乱的时间域信号映射到另外一个数学域上面,计算完成以后再映射回来" "宇宙的每一个原子都在旋转和震荡,你可以把时间信号看成若干个震荡叠加的效果,也就是若干个可以确定的,有固定频率特性的东西。" "我给你一个数学函数f,时间域无限的输入信号在f域有限的。时间域波形混乱的输入信号在f 域是整齐的容易看清楚的。这样你就可以计算了"

(完整版)数字信号处理试卷及答案

江 苏 大 学 试 题 课程名称 数字信号处理 开课学院 使用班级 考试日期

江苏大学试题第2A页

江苏大学试题第3A 页

江苏大学试题第页

一、填空题:(每空1分,共18分) 8、 数字频率ω是模拟频率Ω对采样频率s f 的归一化,其值是 连续 (连续还是离散?)。 9、 双边序列z 变换的收敛域形状为 圆环或空集 。 10、 某序列的DFT 表达式为∑-== 10 )()(N n kn M W n x k X ,由此可以看出,该序列时域的长度为 N , 变换后数字频域上相邻两个频率样点之间的间隔是 M π 2 。 11、 线性时不变系统离散时间因果系统的系统函数为2 52) 1(8)(22++--=z z z z z H ,则系统的极点为 2,2 1 21-=-=z z ;系统的稳定性为 不稳定 。系统单位冲激响应)(n h 的初值4)0(=h ; 终值)(∞h 不存在 。 12、 如果序列)(n x 是一长度为64点的有限长序列)630(≤≤n ,序列)(n h 是一长度为128点的有限长 序列)1270(≤≤n ,记)()()(n h n x n y *=(线性卷积),则)(n y 为 64+128-1=191点 点的序列,如果采用基FFT 2算法以快速卷积的方式实现线性卷积,则FFT 的点数至少为 256 点。 13、 用冲激响应不变法将一模拟滤波器映射为数字滤波器时,模拟频率Ω与数字频率ω之间的映射变换 关系为T ω = Ω。用双线性变换法将一模拟滤波器映射为数字滤波器时,模拟频率Ω与数字频率ω之 间的映射变换关系为)2tan(2ωT = Ω或)2 arctan(2T Ω=ω。 当线性相位FIR 数字滤波器满足偶对称条件时,其单位冲激响应)(n h 满足的条件为)1()(n N h n h --= ,

大牛讲解信号与系统以及数字信号处理

无意在网上看到这篇《大牛讲解信号与系统以及数字信号处理》看的时候眼泪奔涌而出,现在我才知道大学读的专业的干吗的! 第一课什么是卷积卷积有什么用什么是傅利叶变换什么是拉普拉斯变换 引子 很多朋友和我一样,工科电子类专业,学了一堆信号方面的课,什么都没学懂,背了公式考了试,然后毕业了。 先说"卷积有什么用"这个问题。(有人抢答,"卷积"是为了学习"信号与系统"这门课的后续章节而存在的。我大吼一声,把他拖出去枪毙!) 讲一个故事: 张三刚刚应聘到了一个电子产品公司做测试人员,他没有学过"信号与系统"这门课程。一天,他拿到了一个产品,开发人员告诉他,产品有一个输入端,有一个输出端,有限的输入信号只会产生有限的输出。 然后,经理让张三测试当输入sin(t)(t<1秒)信号的时候(有信号发生器),该产品输出什么样的波形。张三照做了,花了一个波形图。 "很好!"经理说。然后经理给了张三一叠A4纸: "这里有几千种信号,都用公式说明了,输入信号的持续时间也是确定的。你分别测试以下我们产品的输出波形是什么吧!" 这下张三懵了,他在心理想"上帝,帮帮我把,我怎么画出这些波形图呢?" 于是上帝出现了: "张三,你只要做一次测试,就能用数学的方法,画出所有输入波形对应的输出波形"。 上帝接着说:"给产品一个脉冲信号,能量是1焦耳,输出的波形图画出来!" 张三照办了,"然后呢?" 上帝又说,"对于某个输入波形,你想象把它微分成无数个小的脉冲,输入给产品,叠加出来的结果就是你的输出波形。你可以想象这些小脉冲排着队进入你的产品,每个产生一个小的输出,你画出时序图的时候,输入信号的波形好像是反过来进入系统的。" 张三领悟了:" 哦,输出的结果就积分出来啦!感谢上帝。这个方法叫什么名字呢?" 上帝说:"叫卷积!" 从此,张三的工作轻松多了。每次经理让他测试一些信号的输出结果,张三都只需要在A4纸上做微积分就是提交任务了! ---------------------------------------- 张三愉快地工作着,直到有一天,平静的生活被打破。 经理拿来了一个小的电子设备,接到示波器上面,对张三说: "看,这个小设备产生的波形根本没法用一个简单的函数来说明,而且,它连续不断的发出信号!不过幸好,这个连续信号是每隔一段时间就重复一次的。张三,你来测试以下,连到我们的设备上,会产生什么输出波形!" 张三摆摆手:"输入信号是无限时长的,难道我要测试无限长的时间才能得到一个稳定的,重复的波形输出吗?" 经理怒了:"反正你给我搞定,否则炒鱿鱼!" 张三心想:"这次输入信号连公式都给出出来,一个很混乱的波形;时间又是无限长的,卷积也不行了,怎么办呢?" 及时地,上帝又出现了:"把混乱的时间域信号映射到另外一个数学域上面,计算完成以后再映射回来" "宇宙的每一个原子都在旋转和震荡,你可以把时间信号看成若干个震荡叠加的效果,也就是若干个可以确定的,有固定频率特性的东西。"

数字信号复习提纲

第1-4章复习题 1、虚指数序列 x [k ]= e jωk 不一定为周期序列;而连续虚指数信号x (t )= e jωt 必是周期信号。 2、线性卷积[][][]n y k x n h k n ∞ =-∞ = -∑ 例题: x[k]非零范围为N 1≤ k ≤ N 2,h[k]的非零范围为N 3≤ k ≤ N 4,求:y[k]=x[k]* h[k]的非零范围。 解答:N 1+N 3≤ k ≤ N 2+N 4 解析:两个序列卷积时,卷积所得序列的起点等于两个序列起点之和,终点等于两个序列的终点之和,序列长度等于两个序列的长度之和减1。 3、互相关[][][]xy k r n x k y k n ∞ =-∞ = +∑ ,自相关[][][]x k r n x k x k n ∞ =-∞ = +∑ r xy [n ]=x [-n ] * y [n ] r x [n ]= x [-n ] * x [n ] 4、离散LTI 系统因果性:h[k]=0,k<0 离散LTI 系统稳定性:[]k h k S ∞ =-∞ =<∞∑ 5、DTFT :()[]j j k k X e x k e ∞ Ω-Ω=-∞ = ∑ IDTFT :2π1 []()d 2πj j k x k X e e ΩΩ<> =Ω? 6、已知x [k ]为一有限长序列且[]{2,1,1,0,3,2,0,3,4}x k ↓ =---,不计算x [k ]的DTFT X (e jω),试直接确定下列表达式的值。 (1)6 02 ()[]0j k X e x k =-= =∑ (2)6π2 ()(1)[]0j k k X e x k =-=-=∑ (3)π π ()d 2π[0]2πj X e x Ω-Ω==-? (4)6 π 2 2 π2 ()d 2π[]88πj k X e x k Ω -=-Ω==∑? (5) 2 6 π 22π 2 d () d 2π[]1780πd j k X e k x k Ω -=-Ω==Ω∑? 7、单频信号通过LTI 系统的响应 LTI ()j k j k j e e H e ΩΩΩ??→

模拟信号和数字信号的特点分别是什么

第一章 复 习 题 1、模拟信号和数字信号的特点分别是什么? 2、设数字信号码元时间长度为1s μ,如采用四电平传输,求信息传输速率及符号速率。 3、接上题,若传输过程中2秒误1个比特,求误码率。 4、假设频带宽度为1024kHz ,可传输2048s kbit /的比特率,试问其频带利用率为多少? 第一章 复习题答案 1、答:模拟信号的特点是幅度取值是连续的。 数字信号的特点是幅度取值是离散的。 2、答:符号速率为 Bd t N B B 661010 11===- 信息传输速率为 s Mbit s bit M N R B b /2/1024log 10log 6262=?=?== 3、答:误码率=发生误码个数/传输总码元数 76105.210 221-?=??= 4、答:Hz s bit //210 102410204833 =??==频带宽度信息传输速率η 第二章 复 习 题 1、某模拟信号频谱如题图2.1所示,求满足抽样定理时的抽样频率s f 。若kHz f s 10=,试 画出抽样信号的频谱,并说明此频谱出现什么现象? 2、画出9=l 的均匀量化信噪比曲线(忽略过载区内的量化噪声功率)。 3、画出6.87,7==A l 的A 律压缩特性的非均匀量化信噪比曲线。 4、为什么A 律压缩特性一般A 取87.6。 5、A 律13折线编码器,8=l ,一个样值为?=93S i ,试将其编成相应的码字,并求其编码误差与解码误差。 6、A 律13折线编码器,8=l ,过载电压mV U 4096=,一个样值为mV u S 796-=,试将其编

成相应的码字,并求其编码电平与解码电平。 第二章 复 习 题 答 案 1、kHz f f B kHz f kHz f M M 415,5,100=-=-=== B f <0 ∴此信号为低通型信号 满足抽样定理时,应有 kHz f f M s 10522=?=≥ 若kHz f s 10=,抽样信号的频谱为: 此频谱的一次下边带与原始频带重叠,即没有防卫带。 2、 e e e x x x N N S N l lg 2059lg 205123lg 20lg 203lg 20)/(512 ,9q +=+?=+?===均匀 3、 x x x N N S A N l q lg 2047lg 201283lg 20lg 203lg 20)/(6 .87,128,7+=+?=+?====均匀 246.87ln 16.87lg 20ln 1lg 20=+=+=A A Q )39lg 20(dB x -≤

数字信号处理习题及答案

==============================绪论============================== 1. A/D 8bit 5V 00000000 0V 00000001 20mV 00000010 40mV 00011101 29mV ==================第一章 时域离散时间信号与系统================== 1. ①写出图示序列的表达式 答:3)1.5δ(n 2)2δ(n 1)δ(n 2δ(n)1)δ(n x(n)-+---+++= ②用δ(n) 表示y (n )={2,7,19,28,29,15} 2. ①求下列周期 ②判断下面的序列是否是周期的; 若是周期的, 确定其周期。 (1)A是常数 8ππn 73Acos x(n)???? ??-= (2))81 (j e )(π-=n n x 解: (1) 因为ω=73π, 所以3 14π2=ω, 这是有理数, 因此是周期序列, 周期T =14。 (2) 因为ω= 81, 所以ωπ2=16π, 这是无理数, 因此是非周期序列。 ③序列)Acos(nw x(n)0?+=是周期序列的条件是是有理数2π/w 0。 3.加法 乘法 序列{2,3,2,1}与序列{2,3,5,2,1}相加为__{4,6,7,3,1}__,相乘为___{4,9,10,2} 。 移位 翻转:①已知x(n)波形,画出x(-n)的波形图。 ② 尺度变换:已知x(n)波形,画出x(2n)及x(n/2)波形图。 卷积和:①h(n)*求x(n),其他0 2n 0n 3,h(n)其他03n 0n/2设x(n) 例、???≤≤-=???≤≤= ②已知x (n )={1,2,4,3},h (n )={2,3,5}, 求y (n )=x (n )*h (n ) x (m )={1,2,4,3},h (m )={2,3,5},则h (-m )={5,3,2}(Step1:翻转)

脉冲与数字电路课程教学大纲

《脉冲与数字电路》教学大纲 遵化职教中心刘秀敏一.课程性质和任务 本课程是通信工程、电子信息工程、测控技术与仪器、自动化、生物医学工程等专业的一门重要技术基础课。其作用与任务是:使学生熟悉基本脉冲与数字电路工作原理,掌握脉冲与数字电路的分析方法和设计方法,为以后深入学习和从事有关数字电路领域的工作打下基础。本课程在培养学生读图能力、根据需要选用基本单元电路和常用集成电路的能力、定量估算简单单元电路和电路参数能力等方面起重要作用。 二.课程教学目标: 通过课堂讲授、实验、习题等重要环节,要求学生在能力培养方面达到如下要求: 1、知识目标 要求能阅读常用的大、中、小规模集成块组成的数字电路逻辑图2、能力目标 有选用基本单元电路和常用集成电路的能力: 能根据需要从集成电路手册中选用合适的集成电路,有定量估算简单单元电路和电路参数(如工作状态、高低电平、负载能力等)的能力,能基本解决单元电路之间的相互连接问题。 三.教学内容和要求 脉冲电路部分 1.晶体二极管、三极管的开关特性: 2.二极管开关特性;开关时间;分区等效电路;三极管的开关特性;开关时间;分段线性模型及等效电路;三极管倒相器开关特性;正常工作条件;负载特性;开关时间。

3.脉冲波形变换电路: 二极管限幅器工作原理;三极管限幅器;二极管、三极管电平箝位器。 脉冲波形产生电路: 4.集基耦合双稳态触发电路;射集耦合双稳态触发电路;单稳态触发电路:工作原理;波形分析;参数估算;自激多谐振荡器:工作原理;波形分析;参数估算。 数字电路分析设计基础 基本概念:数字信号,数字电路,数字系统;数制:二、八、十、十六进制、任意进制;常用的几种代码:BCD码格雷码原、反、补码;逻辑代数的基本运算:与、或、非运算,逻辑代数中的基本公式,逻辑代数中的基本定理,逻辑函数及其表示方法 数制转换:二、八、十、十六进制间的转换 代码转换:十进制与BCD码之间的转换,原、反、补码间相互的转换 逻辑函数的化简:公式法化简卡诺图法化简单输出、多输出逻辑函数化简 第二部分组合逻辑电路 基本概念:正逻辑与负逻辑,线与、总线的概念 了解二极管、三极管的开关特性、MOS、TTL基本逻辑门的工作原理逻辑功能 了解门电路的主要外特性:传输特性输入特性输出特性开关时间特性等了解集电极开路门(OC门)和三态门(TS门)掌握组合逻辑电路的分析和设计方法组合逻辑电路的险象及其判断、消除方法 了解常用的组合逻辑电路:编码器与译码器数据选择器数据

相关文档
最新文档