PCB设计EMI问题:高速信号走线九大规则

PCB设计EMI问题:高速信号走线九大规则

PCB 设计EMI 问题:高速信号走线九大规则

电子产品的EMI 问题越来越受到电子工程师的关注,几乎60%的EMI 问题都可以通过高速PCB 来解决。以下是九大规则:

规则一:高速信号走线屏蔽规则

在高速的PCB 设计中,时钟等关键的高速信号线,走线需要进行屏蔽处理,如果没有屏蔽或只屏蔽了部分,都会造成EMI 的泄漏。建议屏蔽线,每1000mil,打孔接地。

规则二:高速信号的走线闭环规则

由于PCB 板的密度越来越高,很多PCB LAYOUT 工程师在走线的过程中,很容易出现一种失误:即时钟信号等高速信号网络,在多层的PCB 走线的时候产生了闭环的结果,这样的闭环结果将产生环形天线,增加EMI 的辐射强度。

规则三:高速信号的走线开环规则

Allegro差分线走线规则

SOFER TECHNICAL FILE Allegro 15.x 差分线布线规则设置 Doc Scope : Cadence Allegro 15.x Doc Number : SFTCA06001 Author :SOFER Create Date :2005-5-30 Rev : 1.00

Allegro 15.x差分线布线规则设置 文档内容介绍: 1.文档背景 (3) 2.Differential Pair信号介绍 (3) 3.如何在Allegro中定义Differential Pair属性 (4) 4.怎样设定Differential Pair在不同层面控制不同线宽与间距 (8) 5.怎样设定Differential Pair对与对之间的间距 (11)

1.文档背景 a)差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,差分线 大多为电路中最关键的信号,差分线布线的好坏直接影响到PCB板子信号质量。 b)差分线一般都需要做阻抗控制,特别是要在多层板中做的各层的差分走线阻抗都 一样,这个一点要在设计时计算控制,否则仅让PCB板厂进行调整是非常麻烦的 事情,很多情况板厂都没有办法调整到所需的阻抗。 c)Allegro版本升级为15.x后,差分线的规则设定与之前版本有很大的改变。虽然 Allegro15.0版本已经发布很长时间了,但是还是有很多人对新版本的差分线规 则设置不是很清楚。 2.Differential Pair信号介绍 差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关 键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值 来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎 是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可 以被完全抵消。 b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场 可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端 信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差, 同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。 …… 由于篇幅问题,这里对差分信号不做深入介绍了。

高速信号布线技巧

高速信号布线技巧 原文引自夔牛的博客 https://www.360docs.net/doc/6d4845697.html,/seutommy 1.多层布线 合理选择层数能大幅度降低印版那个中间层尺寸,能充分利用中间层来设置屏蔽,能更好的实现就近接地,能有效的降低寄生电感,能有效缩短信号的传输长度,能最大限度的降低信号间的交叉干扰。 2.引线弯折越少越好 高速电路器件管脚间的引线弯折越少越好。高速电路布线的引线最好采用全直线,需要弯折,可用45°折线或圆弧线。 3.引线越短越好 高速电路器件管脚间的引线越短越好。引线越长,带来的分布电感和分布电容值越大,对系统的高频信号通过产生很多的影响,同时也会改变电路的特性阻抗。 4.引线层间的交替越少越好 高速电路器件管脚间的引线层间交替越少越好。所谓“引线的层间交替越少越好”,是指元件连接过程中所用的过孔越少越好。据侧,一个过孔可带来约0.5pF的分布电容,导致电路的延迟明显增加,减少过孔数目能显著提高速度。 5.注意平行交叉干扰 高速电路布线要注意信号线近距离平行走线所引入的“交叉干扰”,若无法避免平行分布,可在平行信号的反面布置大面积“地”来大幅度减少干扰。同一层内的平行走线几乎无法避免,但是在相邻的两个层,走线的方向务必取为相互垂直。 6.底线包围 底线包围,也称地线隔离,对特别重要的信号线或局部单元实施地线包围的措施。有些信号对要求比较严格,要保证信号不受到干扰,比如时钟信号、告诉模拟信号、微小模拟信号等。为了保护这些信号尽量少受到周围信号线的串扰,可在这些信号走线的外围加上保护的地线,将要保护的信号线加在中间。 7.走线避免成环

各类信号走线不能形成环路,地线也不能形成电流环路。如果产生环路电路,将在系统中产生很大的干扰。 8.布置去耦电容 每个集成电路块的附近应该设置一个或者几个高频去耦电容。为集成片的瞬变电流提供就进的高频通道,使电流不至于通过环路面积较大的供电线路,从而大大减少了向外的辐射噪声。同时由于各集成片拥有自己的高频通道,相互之间没有公共阻抗,抑制了其阻抗耦合。 9.使用高频扼流环节 模拟地线、数字地线等接往公共地线时要用高频扼流环节。在实际装配高频扼流环节时用的网上是中心穿孔有导线的高频铁氧体磁珠. 10.避免分支和树桩 告诉信号布线应尽量避免分支或树桩。树桩对阻抗有很大影响,可以导致信号的反射和过冲,所以我们通常在设计时应避免树桩和分支。采用菊花链的方式,将对信号的影响降低。 11.信号线尽量走在内层 高频信号线走在表层容易产生较大电磁辐射,也容易受到外界电磁辐射或者因此的干扰。将高频信号先布线在电源和地线之间,通过电源还底层对电磁波的吸收,所产生的辐射将减少很多。

五款信号完整性仿真工具介绍

现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 Ansoft的信号完整性工具采用一个仿真可解决全部设计问题: SIwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何数量的过孔和信号引线条构成。仿真结果采用先进的3D图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿真器。 (二)SPECCTRAQuest Cadence的工具采用Sun的电源层分析模块: Cadence Design Systems的SpecctraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在PCB详细设计前使用此工具,对互连线的不同情况进行仿真,把仿真结果存为拓扑结构模板,在后期详细设计中应用这些模板进行设计。 (2)DF/Signoise工具是信号仿真分析工具,可提供复杂的信号延时和信号畸变分析、IBIS 模型库的设置开发功能。SigNoise是SPECCTRAQUEST SI Expert和SQ Signal Explorer Expert进行分析仿真的仿真引擎,利用SigNoise可以进行反射、串扰、SSN、EMI、源同步及系统级的仿真。 (3)DF/EMC工具——EMC分析控制工具。 (4)DF/Thermax——热分析控制工具。 SPECCTRAQuest中的理想高速PCB设计流程: 由上所示,通过模型的验证、预布局布线的space分析、通过floorplan制定拓朴规则、由规

ALLEGRO DDR布线规则

ALLEGRO约束规则设置步骤(以DDR为例) Dyyxh@pcbtech tzyhust@https://www.360docs.net/doc/6d4845697.html, 本文是我对约束规则设置方面的一些理解,希望对新手能有所帮助.由于本人水平有限, 错误之处难免,希望大家不吝赐教! 在进行高速布线时,一般都需要进行线长匹配,这时我们就需要设置好constraint规则,并 将这些规则分配到各类net group上.下面以ddr为例,具体说明这些约束设置的具体步骤. 1. 布线要求 DDR时钟: 线宽10mil,内部间距5mil,外部间距30mil,要求差分布线,必需精确匹 配差分对走线误差,允许在+20mil以内 DDR地址,片选及其他控制线:线宽5mil,内部间距15mil,外部间距20mil,应走成 菊花链状拓扑,可比ddrclk线长1000-2500mil,绝对不能短 DDR数据线,ddrdqs,ddrdm线:线宽5mil,内部间距15mil,外部间距20mil,最好在 同一层布线.数据线与时钟线的线长差控制在50mil内. 2. 根据上述要求,我们在allegro中设置不同的约束 针对线宽(physical),我们只需要设置3个约束:DDR_CLK, DDR_ADDR,

DDR_DATA 设置好了上述约束之后,我们就可以将这些约束添加到net上了.点击physical rule set 中的attach……,再点击右边控制面板中的more, 弹出对话框 如上图所示,找到ckn0和ckp0,点击apply,则弹出 选中左边列表中的NET_PHYSICAL_TYPE, 在右边空格内输入DDR_CLK, 点击apply, 弹出 即这两个net已经添加上了NET_PHYSICAL_TYPE属性,且值为DDR_CLK. 类似的,可以将DDR数据线,数据选通线和数据屏蔽线的NET_PHYSICAL_TYPE设 为DDR_DATA, DDR地址线,片选线,和其他控制线的NET_PHYSICAL_TYPE设为 DDR_ADDR. 上述步骤完成后,我们就要将已经设好的约束分配到这些net group上. 如下图点击assignment table…… 弹出对话框 如下图所示,我们对不同的信号组选择各自的physical约束 有人可能会问,为什么你这还有area0,area1啊这是因为你的这些约束有的地方不可 能达到的,比如在bga封装的cpu内,你引线出来,线间距不可能达到

PCBLayout中的直角走线、差分走线和蛇形线

布线(Layout)是PCB设计工程师最基本的工作技能之一。走线的好坏将直接影响到整个系统的性能,大多数高速的设计理论也要最终经过Layout 得以实现并验证,由此可见,布线在高速PCB 设计中是至关重要的。下面将针对实际布线中可能遇到的一些情况,分析其合理性,并给出一些比较优化的走线策略。 主要从直角走线,差分走线,蛇形线等三个方面来阐述。 1.直角走线 直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。 直角走线的对信号的影响就是主要体现在三个方面: 一是拐角可以等效为传输线上的容性负载,减缓上升时间; 二是阻抗不连续会造成信号的反射; 三是直角尖端产生的EMI。 传输线的直角带来的寄生电容可以由下面这个经验公式来计算: C=61W(Er)[size=1]1/2[/size]/Z0 在上式中,C 就是指拐角的等效电容(单位:pF),W指走线的宽度(单位:inch),εr 指介质的介电常数,Z0就是传输线的特征阻抗。举个例子,对于一个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量: T10-90%=2.2*C*Z0/2 = 2.2*0.0101*50/2 = 0.556ps 通过计算可以看出,直角走线带来的电容效应是极其微小的。 由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数: ρ=(Zs-Z0)/(Zs+Z0) 一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到

差分信号走线原则

设计规则1 我们处理差分信号的第一个规则是:走线必须等长。有人激烈地反对这条规则。通常他们的争论的基础包括了信号时序。他们详尽地指出许多差分电路可以容忍差分信号两个部分相当的时序偏差而仍然能够可靠地进行翻转。根据使用的不同的逻辑门系列,可以容忍500 mil 的走线长度偏差。并且这些人们能够将这些情况用器件规范和信号时序图非常详尽地描绘出来。问题是,他们没有抓住要点!差分走线必须等长的原因与信号时序几乎没有任何关系。与之相关的仅仅是假定差分信号是大小相等且极性相反的以及如果这个假设不成立将会发生什么。将会发生的是:不受控的地电流开始流动,最好情况是良性的,最坏情况将导致严重的共模EMI问题。 因此,如果你依赖这样的假定,即:差分信号是大小相等且极性相反,并且因此没有通过地的电流,那么这个假定的一个必要推论就是差分信号对的长度必须相等。差分信号与环路面积:如果我们的差分电路处理的信号有着较慢的上升时间,高速设计规则不是问题。但是,假设我们正在处理的信号有着有较快的上升时间,什么样的额外的问题开始在差分线上发生呢?考虑一个设计,一对差分线从驱动器到接收器,跨越一个平面。同时假设走线长度完全相等,信号严格大小相等且极性相反。因此,没有通过地的返回电流。但是,尽管如此,平面层上存在一个感应电流! 任何高速信号都能够(并且一定会)在相邻电路(或者平面)产生一个耦合信号。这种机制与串扰的机制完全相同。这是由电磁耦合,互感耦合与互容耦合的综合效果,引起的。因此,如同单端信号的返回电流倾向于在直接位于走线下方的平面上传播,差分线也会在其下方的平面上产生一个感应电流。 但这不是返回电流。所有的返回电流已经抵消了。因此,这纯粹是平面上的耦合噪声。问题是,如果电流必须在一个环路中流动,剩下来的电流到哪里去了呢?记住,我们有两根走线,其信号大小相等极性相反。其中一根走线在平面一个方向上耦合了一个信号,另一根在平面另一个方向上耦合了一个信号。平面上这两个耦合电流大小相等(假设其它方面设计得很好)。因此电流完全在差分走线下方的一个环路中流动(图3)。它们看上去就像是涡流。耦合电流在其中流动的环路由(a)差分线自身和(b)走线在每个端点之间的间隔来定义。 设计规则2 现在EMI 与环路面积已是广为人知了3。因此如果我们想控制EMI,就需要将环路面积最小化。并且做到这一点的方法引出了我们的第二条设计规则:将差分线彼此靠近布线。有人反对这条规则,事实上这条规则在上升时间较慢并且EMI 不是问题时并不是必须的。但是在高速环境中,差分线彼此靠得越近布线,走线下方所感应的电流的环路就越小,

五款信号完整性仿真分析工具

SI 五款信号完整性仿真工具介绍 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB 设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,An soft公司的仿真工具能够从三维场求解的角度出发,对PCB 设计的信号完整性问题进行动态仿真。 Ansoft 的信号完整性工具采用一个仿真可解决全部设计问题: Slwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何 数量的过孔和信号引线条构成。仿真结果采用先进的3D 图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿 (二)SPECCTRAQuest Cade nee的工具采用Sun的电源层分析模块: Cade nee Design System 的SpeeetraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI 。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer 可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在

差分信号线的原理和优缺点分析

差分信号线的原理和优缺点分析 随着近几年对速率的要求快速提高,新的总线协议不断的提出更高的速率。传统的总线协议已经不能够满足要求了。串行总线由于更好的抗干扰性,和更少的信号线,更高的速率获得了众多设计者的青睐。而串行总线又尤以差分信号的方式为最多。所以在这篇中整理了些有关差分信号线的设计和大家探讨下。 1.差分信号线的原理和优缺点 差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。 b. 能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,如图在A-A‘的电流是从右到左,那B-B‘的是从左到右,那么按右手螺旋定则,那他们的磁力线是互相抵消的。耦合的越紧密,互相抵消的磁力线就越多。泄放到外界的电磁能量越少。 c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differenTIal signaling)就是指这种小振幅差分信号技术。 2.差分信号的一个实例:LVDS

高速PCB布线差分对走线

高速PCB布线差分对走线 为了避免不理想返回路径的影响,可以采用差分对走线。为了获得较好的信号完整性,可以选用差分对来对高速信号进行走线,如图1所示,LVDS电平的传输就采用差分传输线的方式。 图1 差分对走线实例 差分信号传输有很多优点,如: ·输出驱动总的dI/dr会大幅降低,从而减小了轨道塌陷和潜在的电磁干扰; ·与单端放大器相比,接收器中的差分放大器有更高的增益; ·差分信号在一对紧耦合差分对中传输时,在返回路径中对付串扰和突变的鲁棒性更好; ·因为每个信号都有自己的返回路径,所以差分新信号通过接插件或封装时,不易受 到开关噪声的干扰; 但是差分信号也有其缺点:首先是会产生潜在的EMI,如果不对差分信号进行恰当的平衡或滤波,或者存在任何共模信号,就可能会产生EMI问题;其次是和单端信号相比,传输差分信号需要双倍的信号线。 如图2所示为差分对走线在PCB上的横截面。D为两个差分对之间的距离;s为差分对两根信号线间的距离;W为差分对走线的宽度;Ff为介质厚度。

使用差分对走线时,要遵循以下原则: ·保持差分对的两信号走线之间的距离S在整个走线上为常数; ·确保D>25,以最小化两个差分对信号之间的串扰; ·使差分对的两信号走线之间的距离S满足:S=3H,以便使元件的反射阻抗最小化; ·将两差分信号线的长度保持相等,以消除信号的相位差; ·避免在差分对上使用多个过孔,过孔会产生阻抗不匹配和电感。 图2 PCB上的差分对走线 以前,只有不到50%的电路板采用可控阻抗互连线,而现在这一比例已超过90%。如今有不到50%的电路板使用了差分对,相信在不久的将来,随着对差分对原理和设计规则的了解加深,将会有超过90%的电路板使用它 欢迎转载,信息来源维库电子市场网(https://www.360docs.net/doc/6d4845697.html,)

DDR走线规则

1.时钟信号 (1)差分布线,差分阻抗100欧姆,差分线误差±5mil。 (2)与其它信号的间距要大于25mil,而且是指edge to edge的间距 (3)CLK等长,误差±10mil。 2.数据信号: (1)数据信号分为八组,每组单独分开走线,第一组为DDR_DQ[0:7]、DDR_DQSP0、DDR_DQSN0、DDR_DQM0,以此类推,同组信号在同一层走线。 (2)DQ和DQM为点对点布线, (3)DQS为差分布线。差分线误差±5mil,差分阻抗100欧姆。 (4)组内间距要大于12mil,而且是指edge to edge的间距,同组内DQ与DQM以DQS为基准等长,误差±5mil。 (5)DQS与DDR2_CLKP等长,误差±5mil。 (6)不同组信号间距:大于20mil(edge to edge的间距) (7)DDR_CKN/P之间的并联100欧姆电阻,需要放置在信号一分二的分叉地方 (8)尽可能减少过孔 (9)叠层设计的时候,最好将每一层阻抗线宽,控制在差不多宽度 (10)信号走线长度,不超过2500mil 3.控制信号和地址信号: (1) 组内间距要大于12mil,而且是指edge to edge的间距 (2) 所有控制线须等长,误差±10mil。 (3 不同组信号间距:大于20mil(edge to edge的间距) 4.其它信号 DDR_VREF走线宽度20mil以上。 无论是PCB上使用芯片还是采用DIMM条,DDR和DDRx(包括DDR2,DDR4等)相对与传统的同步SDRAM的读写,我认为主要困难有三点: 1,时序。由于DDR采用双沿触发,和一般的时钟单沿触发的同步电路,在时序计算上有很大不同。DDR之所以双沿触发,其实是在芯片内部做了时钟的倍频(因为按照耐奎斯特准则,时钟频率应该至少是信号频率的2倍),对外看起来,数据地址速率和时钟一样。为了保证能够被判决一组信号较小的相差skew,DDR对数据DQ信号使用分组同步触发DQS信号,所以DDR上要求时序同步的是DQ

DDR3信号完整性与电源完整性设计

DesignCon 2011 Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package June Feng, Rambus Inc. [Email: jfeng@https://www.360docs.net/doc/6d4845697.html,] Ralf Schmitt, Rambus Inc. Hai Lan, Rambus Inc. Yi Lu, Rambus Inc.

Abstract A DDR3 interface for a data rate of 1600MHz using a wirebond package and a low-cost system environment typical for consumer electronics products was implemented. In this environment crosstalk and supply noise are serious challenges and have to be carefully optimized to meet the data rate target. We are presenting the signal and power integrity analysis used to optimize the interface design and guarantee reliable system operation at the performance target under high-volume manufacturing conditions. The resulting DDR3 PHY was implemented in a test chip and achieves reliable memory operations at 1600MHz and beyond. Authors Biography June Feng received her MS from University of California at Davis, and BS from Beijing University in China. From 1998 to 2000, she was with Amkor Technology, Chandler, AZ. She was responsible for BGA package substrate modeling and design and PCB characterization. In 2000, she joined Rambus Inc and is currently a senior member of technical staff. She is in charge of performing detailed analysis, modeling, design and characterization in a variety of areas including high-speed, low cost PCB layout and device packaging. Her interests include high-speed interconnects modeling, channel VT budget simulation, power delivery network modeling and high-frequency measurements. Ralf Schmitt received his Ph.D. in Electrical Engineering from the Technical University of Berlin, Germany. Since 2002, he is with Rambus Inc, Los Altos, California, where he is a Senior Manager leading the SI/PI group, responsible for designing, modeling, and implementing Rambus multi-gigahertz signaling technologies. His professional interests include signal integrity, power integrity, clock distribution, and high-speed signaling technologies. Hai Lan is a Senior Member of Technical Staff at Rambus Inc., where he has been working on on-chip power integrity and jitter analysis for multi-gigabit interfaces. He received his Ph.D. in Electrical Engineering from Stanford University, M.S. in Electrical and Computer Engineering from Oregon State University, and B.S. in Electronic Engineering from Tsinghua University in 2006, 2001, and 1999, respectively. His professional interests include design, modeling, and simulation for mixed-signal integrated circuits, substrate noise coupling, power and signal integrity, and high-speed interconnects. Yi Lu is a senior systems engineer at Rambus Inc. He received the B.S. degree in electrical engineer and computer science from U.C. Berkeley in 2002 with honors. In 2004, he received the M.S. degree in electrical engineering from UCLA, where he designed and fabricated a 3D MEMS microdisk optical switch. Since joining Rambus in 2006, he has been a systems engineer designing various memory interfaces including XDR1/2 and DDR2/3.

与EMI相关的Layout走线规则

与EMI相关的Layout走线规则 1、PCB不能有直角走线,直角走线会导致阻抗不连续,导致信号发射,从而产生振铃或过冲,形成强烈的EMI辐射。 2、PCB走线特别是时钟线与总线的粗细应保持一致,粗细不一致时,走线阻抗会发生突变,导致信号发射,从而产生振铃或过冲,形成强烈的EMI辐射。直角时或粗细不一致时,导线横截面积改变,阻抗突变,会导致反射(电流振荡,方波上升沿上升时间变短,上升沿变陡,缚利叶变换时下降沿越平滑,高频范围变大,EMI FAIL风险变大)造成能量的叠加,导致EMI noise。另外有五种端接方式都是为了阻抗匹配。 3、尽量避免相邻布线层的层设置,无法避免时,应使相邻布线层中相互垂直或平行走线长度小于1000mil,减小平行走线之间的串扰。会形成杂散电容。 4、如果单板有内部信号走线层,则时钟等关键信号线布在内层。将关键信号布在内部走线层可以起到屏蔽的作用。 5、时钟线两侧建议包地线,包地线每隔3000mil接地,保证各包地线上各点电位相等,使信号的回流路径走在预先设定好的地线上,避免crosstalk和coupling到其它线路。 6、时钟、总线、射频线等关键信号走线和其他同层平行走线应满足3W原则,避免信号之间的串扰。原理同第三条。 7、电流大于等于1A电源所用的表贴保险丝、磁珠、电感、钽电容的焊盘应不少于两个过孔接到平面层,减少过孔等效阻抗。两个wire孔下地可以减小电感。 8、差分信号线应同层,等长,并行走线,保持阻抗一致,差分线间无其它走线,保证差分线对的共模阻抗相等,提高其抗干扰能力。差分信号大小相等,方向相等,从EE方面,如果信号到达时间不等,会造成误操作,从EMI考虑,差分信号平行走线noise能相互抵消。 9、关键信号走线一定不能跨分割区走线(包括过孔,焊盘导致的参考平面间隙),跨分割区走线会导致信号回路面积的增大。 10、信号跨其回流平面分割的情况不可避免时,建议在信号跨分割附近采用桥接电容方式处理,电容取值为1nF。信号跨分割时,常常会导致其回路面积增大,采用桥接地方式是人为的为其设置信号回路。加电容是高频充当导线。 11、单板上的滤波器(滤波电路)下方不要有其他无关信号走线,分布电容会削弱滤波器的滤波效果。 12、滤波器(滤波电路)的输入,输出信号不能相互平行,交叉走线,避免滤波前后的走线

SATA高速差分信号设计规则

PCB设计挑战和建议作为PC、服务器和消费电子产品中重要的硬盘驱动器接口,串行ATA(SATA)发展迅猛并日益盛行。随着基于磁盘的存储在所有电子市场领域中变得越来越重要,系统设计工程师需要知道采用第一代SATA(1.5Gbps)和第二代SATA(3.0Gbps)协议的产品设计中的独特挑战。此外,系统设计工程师还需要了解新的SATA特性,以使其用途更广,功能更强,而不仅仅是简单地代替并行ATA。充分利用这些新特性并克服设计中存在的障碍,对成功推出采用SATA接口的产品非常关键。 日趋复杂的PCB布局布线设计对保证高速信号(如SATA)的正常工作至关重要。由于第一代和第二代SATA的速度分别高达1.5Gbps和3.0Gbps,因此铜箔蚀刻线布局的微小改动都会对电路性能造成很大的影响。SATA信号的上升时间约为100ps,如此快的上升时间,再加上有限的电信号传输速度,所以即使很短的走线也必须当成传输线来对待,因为这些走线上有很大部分的上升(或下降)电压。 高频效应处理不好,将会导致PCB无法工作或者工作起来时好时坏。为保证采用FR4 PCB板的SATA设计正常工作,必须遵守下面列出的FR4 PCB布局布线规则。这些规则可分为两大类:设计使用差分信号和避免阻抗不匹配。 高速差分信号设计规则包括: 1.SATA是高速差分信号,一个SATA连接包含一个发送信号对和一个接收 信号对,这些差分信号的走线长度差别应小于5mil。使差分对的走线长度保持一致非常重要,不匹配的走线长度会减小信令之间的差值,增加误码率,而且还会产生共模噪声,从而增加EMI辐射。差分信号线对应该 在电路板表层并排走线(微带线),如果差分信号线对必须在不同的层走 线,那么过孔两侧的走线长度必须保持一致。 2.差分信号线对的走线不能太靠近,建议走线间距是走线相对于参考平面高 度的6至10倍(最好是10倍)。 3.为减少EMI,差分对的走线间距不要超过150mil。 4.SATA差分对的差分阻抗必须为100欧姆。 5.为减少串扰,同一层其它信号与差分信号线对之间的间距至少为走线相对 于参考平面高度的10至15倍。 6.在千兆位传输速度的差分信号上不要使用测试点。 避免阻抗不匹配的设计规则包括:

差分信号PCB规则

什么是差分信号? 一个差分信号是用一个数值来表示两个物理量之间的差异。从严格意义上来讲,所有电压信号都是差分的,因为一个电压只能是相对于另一个电压而言的。在某些系统里,系统'地'被用作电压基准点。当'地'当作电压测量基准时,这种信号规划被称之为单端的。我们使用该术语是因为信号是用单个导体上的电压来表示的。 另一方面,一个差分信号作用在两个导体上。信号值是两个导体间的电压差。尽管不是非常必要,这两个电压的平均值还是会经常保持一致。我们用一个方法对差分信号做一下比喻,差分信号就好比是跷跷板上的两个人,当一个人被跷上去的时候,另一个人被跷下来了- 但是他们的平均位置是不变的。继续跷跷板的类推,正值可以表示左边的人比右边的人高,而负值表示右边的人比左边的人高。0 表示两个人都是同一水平。 图1 用跷跷板表示的差分信号 应用到电学上,这两个跷跷板用一对标识为V+和V-的导线来表示。当V+>V-时,信号定义成正极信号,当V+

高速信号走线规则

高速信号走线规则 随着信号上升沿时间的减小,信号频率的提高,电子产品的EMI问题,也来越受到电子工程师的关注。 高速PCB设计的成功,对EMI的贡献越来越受到重视,几乎60%的EMI问题可以通过高速PCB来控制解决。 规则一:高速信号走线屏蔽规则 在高速的PCB设计中,时钟等关键的高速信号线,走需要进行屏蔽处理,如果没有屏蔽或只屏蔽了部分,都是会造成EMI的泄漏。建议屏蔽线,每1000mil,打孔接地。如上图所示。 规则二:高速信号的走线闭环规则 由于PCB板的密度越来越高,很多PCB LAYOUT工程师在走线的过程中,很容易出现这种失误,如下图所示: 时钟信号等高速信号网络,在多层的PCB走线的时候产生了闭环的结果,这样的闭环结果将产生环形天线,增加EMI 的辐射强度。 规则三:高速信号的走线开环规则 规则二提到高速信号的闭环会造成EMI辐射,同样的开环同样会造成EMI辐射,如下图所示:

时钟信号等高速信号网络,在多层的PCB走线的时候产生了开环的结果,这样的开环结果将产生线形天线,增加EMI 的辐射强度。在设计中我们也要避免。 规则四:高速信号的特性阻抗连续规则 高速信号,在层与层之间切换的时候必须保证特性阻抗的连续,否则会增加EMI的辐射,如下图: 也就是:同层的布线的宽度必须连续,不同层的走线阻抗必须连续。 规则五:高速PCB设计的布线方向规则 相邻两层间的走线必须遵循垂直走线的原则,否则会造成线间的串扰,增加EMI辐射,如下图: 相邻的布线层遵循横平竖垂的布线方向,垂直的布线可以抑制线间的串扰。 规则六:高速PCB设计中的拓扑结构规则 在高速PCB设计中有两个最为重要的内容,就是线路板特性阻抗的控制和多负载情况下的拓扑结构的设计。在高速的情况下,可以说拓扑结构的是否合理直接决定,产品的成功还是失败。 如上图所示,就是我们经常用到的菊花链式拓扑结构。这种拓扑结构一般用于几Mhz的情况下为益。高速的拓扑结构我们建议使用后端的星形对称结构。

《信号完整性与电源完整性的仿真分析与设计》

信号完整性与电源完整性的仿真分析与设计 1简介 信号完整性是指信号在通过一定距离的传输路径后在特定接收端口相对指定发送端口信号的还原程度。在讨论信号完整性设计性能时,如指定不同的收发参考端口,则对信号还原程度会用不同的指标来描述。通常指定的收发参考端口是发送芯片输出处及接收芯片输入处的波形可测点,此时对信号还原程度主要依靠上升/下降及保持时间等指标来进行描述。而如果指定的参考收发端口是在信道编码器输入端及解码器输出端时,对信号还原程度的描述将会依靠误码率来描述。 电源完整性是指系统供电电源在经过一定的传输网络后在指定器件端口相对该器件对工作电源要求的符合程度。同样,对于同一系统中同一个器件的正常工作条件而言,如果指定的端口不同,其工作电源要求也不同(在随后的例子中将会直观地看到这一点)。通常指定的器件参考端口是芯片电源及地连接引脚处的可测点,此时该芯片的产品手册应给出该端口处的相应指标,常用纹波大小或者电压最大偏离范围来表征。 图一是一个典型背板信号传输的系统示意图。本文中“系统”一词包含信号传输所需的所有相关硬件及软件,包括芯片、封装与PCB板的物理结构,电源及电源传输网络,所有相关电路实现以及信号通信所需的协议等。从设计目的而言,需要硬件提供可制作的支撑及电信号有源/无源互联结构;需要软件提供信号传递的传输协议以及数据内容。

图1 背板信号传输的系统示意图 在本文的以下内容中,将会看到由于这些支撑与互联结构对电信号的传输呈现出一定的频率选择性衰减,从而会使设计者产生对信号完整性及电源完整性的担忧。而不同传输协议及不同数据内容的表达方式对相同传输环境具备不同适应能力,使得设计者需要进一步根据实际的传输环境来选择或优化可行的传输协议及数据内容表达方式。 为描述方便起见以下用“完整性设计与分析”来指代“信号完整性与电源完整性设计与分析”。 2 版图完整性问题、分析与设计 上述背板系统中的硬件支撑及无源互联结构基本上都在一种层叠平板结构上实现。这种层叠平板结构可以由三类元素组成:正片结构、负片结构及通孔。正片结构是指该层上的走线大多为不同逻辑连接的信号线或离散的电源线,由于在制版光刻中所有的走线都会以相同图形的方式出现,所以被称为正片结构,有时也被称为信号层;负片结构则是指该层上基本上是相同逻辑连接的一个或少数几个连接(通常是电源连接或地连接),通常会以大面积敷铜的方式来实现,此时光刻工艺中用相反图形来表征更加容易,所以被称为负片结构,有时也称为平面层(细分为电源平面层和地平面层);而通孔用来进行不同层之间的物理连接。目前的制造工艺中,无论是芯片、封装以及PCB 板大多都是在类似结构上实现。 1001010… -0.50.00.51.01.5 -1.0 2.0V c o r e , V

相关文档
最新文档