大作业任务实验报告

大作业任务实验报告
大作业任务实验报告

武汉轻工大学2013-2014学年第 2学期《大型数据库应用》

期末考核报告

专业:信息管理与信息系统

班级:信管(2)班

学号:110502221

姓名:金楚然

2013-2014年度第二学期

《大型数据库应用》期末考核任务

1.任务内容

1.1以自己的学号为用户名建立用户;

1.2 完成以下数据库文件的创建工作:

(1)创建一个永久性的表空间TEST,表空间采用自动分区管理方式,其对应的数据文件名称为USERTEST.DBF,大小为50M,并将该表空间设置为数据库默认的表空间。CREATE TABLESPACE TEST DATAFILE

'F:\app\wanglu\oradata\orcl\USERTEST.DBF' SIZE 50M

EXTENT MANAGEMENT LOCAL AUTOALLOCATE;

ALTER DATABASE DEFAULT TABLESPACE TEST;

(2)为数据库添加一个重做日志文件组,组内包含三个成员文件,成员文件的大小为3M。ALTER DATABASE ADD LOGFILE GROUP 5

('F:\app\wanglu\oradata\orcl\redo05a.log',

'F:\app\wanglu\oradata\orcl\redo05b.log',

'F:\app\wanglu\oradata\orcl\redo05c.log'

)SIZE 5M;

1.3使用SQL语言创建下列关系表:

CONNECT S110502221/S110502221;

CREATE TABLE CHOICE

(

S_NO V ARCHAR(10) NOT NULL ,

COURSE_NO V ARCHAR(10) NOT NULL,

SCORE NUMBER(2) ,

PRIMARY KEY(S_NO,COURSE_NO)

);

(2)CLASS表(班级表)

CREATE TABLE CLASS

(

CLASS_NO V ARCHAR(10) PRIMARY KEY ,

CLASS_NAME V ARCHAR(20) NOT NULL, DEPT_NO V ARCHAR(10) NOT NULL );

CREATE TABLE COURSE

(

COURSE_NO V ARCHAR(10) PRIMARY KEY , COURSE_NAME V ARCHAR(20) NOT NULL );

CREATE TABLE DEPARTMENT

(

DEPT_NO V ARCHAR(10) PRIMARY KEY , DEPT_NAME V ARCHAR(20) NOT NULL

);

(5)STUDENT表(学生表)

主键:S_NO

CREATE TABLE STUDENT

(

S_NO V ARCHAR(10) PRIMARY KEY ,

S_NAME V ARCHAR(20) NOT NULL ,

S_SEX V ARCHAR (2) NOT NULL,

S_BIRTHDAY DA TE,

S_SCORE NUMBER(3),

S_ADDF NUMBER(2),

CLASS_NO V ARCHAR(10) NOT NULL

);

(6)TEACHER表(教师表)

字段说明:

主键:T_NO

CREATE TABLE TEACHER

(

T_NO V ARCHAR(10) PRIMARY KEY ,

T_NAME V ARCHAR(20) NOT NULL ,

T_SEX V ARCHAR (2) NOT NULL,

T_BIRTHDAY DA TE,

TECH_TITLE VARCHAR(5) NOT NULL ,

AGE NUMBER(2),

SALARY NUMBER

);

(7)TEACHING表(教师授课表)

字段说明:

CREATE TABLE TEACHING

(

T_NO V ARCHAR(10) NOT NULL ,

COURSE_NO V ARCHAR(10) NOT NULL ,

PRIMARY KEY(T_NO, COURESE_NO)

);

1.3建立约束:各关系表的约束要求如下

外键约束:

(1)CHOICE——COURSE关系

ADD CONSTRAINT CS1 FOREIGN KEY(COURSE_NO)

REFERENCES COURSE(COURSE_NO);

(2

ADD CONSTRAINT CS2 FOREIGN KEY(S_NO) REFERENCES STUDENT (S_NO);

(3)CLASS——DEPARTMENT关系

ADD CONSTRAINT CS3 FOREIGN KEY(DEPT_NO)

REFERENCES DEPARTMENT (DEPT_NO);

(4)STUDENT——CLASS关系

ADD CONSTRAINT CS4 FOREIGN KEY(CLASS_NO)

REFERENCES CLASS(CLASS_NO);

(5)TEACHING——TEACHER关系

ADD CONSTRAINT CS5 FOREIGN KEY(T_NO) REFERENCES TEACHER (T_NO);

(6

ADD CONSTRAINT CS6 FOREIGN KEY(COURSE_NO)

REFERENCES COURSE (COURSE_NO);

检查性约束:

STUDENT表的S_SEX字段和TEACHER表的T_SEX字段取值均为’男’或’女’。ALTER TABLE STUDENT ADD CHECK (S_SEX IN(‘男’,’女’));

ALTER TABLE TEACHER ADD CHECK (T_SEX IN(’男’,’女’));

STUDENT表的S_SEX字段和TEACHER表的T_SEX字段取值均为’男’或’女’。

1.4使用SQL语言插入下列所示的数据

表d epartment

INSERT INTO DEPARTMENT V ALUES('02','计算机'); INSERT INTO DEPARTMENT V ALUES('03','数学系'); INSERT INTO DEPARTMENT V ALUES('04','电气系');

表class

INSERT INTO CLASS V ALUES('0202','计算机2班','02'); INSERT INTO CLASS V ALUES('0302','数学3班','03'); INSERT INTO CLASS V ALUES('0303','数学3班','03'); INSERT INTO CLASS V ALUES('0401','电气1班','04');

课程表

INSERT INTO COURSE V ALUES('01','数学分析'); INSERT INTO COURSE V ALUES('02','模拟电路'); INSERT INTO COURSE V ALUES('03','数字电路'); INSERT INTO COURSE V ALUES('04','计算机文化'); INSERT INTO COURSE V ALUES('05','离散数学'); INSERT INTO COURSE V ALUES('13','线性代数'); INSERT INTO COURSE V ALUES('06','高等数学'); INSERT INTO COURSE V ALUES('07','邓小平理论'); INSERT INTO COURSE V ALUES('08','马克思哲学'); INSERT INTO COURSE V ALUES('09','大学物理'); INSERT INTO COURSE V ALUES('10','大学语文'); INSERT INTO COURSE V ALUES('11','数据结构'); INSERT INTO COURSE V ALUES('12','C语言');

学生表

INSERT INTO STUDENT V ALUES('020201','张三','男','',560,0,'0202'); INSERT INTO STUDENT V ALUES('020202','李四','男','',578,0,'0202'); INSERT INTO STUDENT V ALUES('030201','王五','女','',545,10,'0302'); INSERT INTO STUDENT V ALUES('030202','丁一','女','',589,0,'0302'); INSERT INTO STUDENT V ALUES('030301','周一','女','',570,0,'0303'); INSERT INTO STUDENT V ALUES('030302','牛二','男','',609,0,'0303'); INSERT INTO STUDENT V ALUES('030303','阮七','女','',603,0,'0303'); INSERT INTO STUDENT V ALUES('040101','苏山','女','',548,0,'0401');

老师表

INSERT INTO TEACHER V ALUES('000001','教师一','男','','教授',56,4800); INSERT INTO TEACHER V ALUES('000002','教师二','女','','教授',55,4333); INSERT INTO TEACHER V ALUES('000003','教师三','男','','副教授',43,3342); INSERT INTO TEACHER V ALUES('000005','教师四','女','','讲师',29,2234); INSERT INTO TEACHER V ALUES('000006','教师五','男','','讲师',30,2312); INSERT INTO TEACHER V ALUES('000007','教师六','女','','讲师',45,2313);

授课表

INSERT INTO TEACHING V ALUES('000001','01'); INSERT INTO TEACHING V ALUES('000001','06'); INSERT INTO TEACHING V ALUES('000001','13'); INSERT INTO TEACHING V ALUES('000002','02'); INSERT INTO TEACHING V ALUES('000002','03'); INSERT INTO TEACHING V ALUES('000003','07'); INSERT INTO TEACHING V ALUES('000003','08'); INSERT INTO TEACHING V ALUES('000005','05'); INSERT INTO TEACHING V ALUES('000006','04'); INSERT INTO TEACHING V ALUES('000006','12'); INSERT INTO TEACHING V ALUES('000007','11');

选课表

INSERT INTO CHOICE V ALUES('020201','07',83); INSERT INTO CHOICE V ALUES('020201','08',79); INSERT INTO CHOICE V ALUES('020201','09',78); INSERT INTO CHOICE V ALUES('020201','11',92); INSERT INTO CHOICE V ALUES('020201','12',95); INSERT INTO CHOICE V ALUES('020201','04',86);

INSERT INTO CHOICE V ALUES('020202','13',67);

INSERT INTO CHOICE V ALUES('020202','07',89);

INSERT INTO CHOICE V ALUES('020202','08',77);

INSERT INTO CHOICE V ALUES('020202','09',80);

INSERT INTO CHOICE V ALUES('020202','11',90);

INSERT INTO CHOICE V ALUES('020202','12',93);

INSERT INTO CHOICE V ALUES('020202','04',88);

INSERT INTO CHOICE V ALUES('030201','01',79);

INSERT INTO CHOICE V ALUES('030201','04',77);

INSERT INTO CHOICE V ALUES('030201','07',88);

INSERT INTO CHOICE V ALUES('030201','08',86);

INSERT INTO CHOICE V ALUES('030201','10',77);

INSERT INTO CHOICE V ALUES('030202','01',55);

INSERT INTO CHOICE V ALUES('030202','04',54);

INSERT INTO CHOICE V ALUES('030202','07',67);

INSERT INTO CHOICE V ALUES('030202','08',65);

INSERT INTO CHOICE V ALUES('030202','10',70);

INSERT INTO CHOICE V ALUES('040101','02',78);

INSERT INTO CHOICE V ALUES('040101','03',82);

INSERT INTO CHOICE V ALUES('040101','04',78);

INSERT INTO CHOICE V ALUES('040101','07',67);

INSERT INTO CHOICE V ALUES('040101','08',76);

INSERT INTO CHOICE V ALUES('040101','09',86);

INSERT INTO CHOICE V ALUES('030202','13',78);

INSERT INTO CHOICE V ALUES('030202','05',80);

INSERT INTO CHOICE V ALUES('030201','13',86);

INSERT INTO CHOICE V ALUES('030201','05',79);

1.5 完成以下查询要求,给出实现该要求的SQL语句

(1)查询入学总分高于平均总分的学生的学号、姓名、总分、班级编号、班级名信息,并将显示结果按班级编号、学号排序。

select s_no,s_name,s_score,class.class_no,class_name,dept_no

from student,class

where student.class_no=class.class_no and student.s_score>(select avg(s_score) from student)

order by student.s_no,class.class_no;

(2)创建一个名为STUDENT_NEW的新表,将STUDENT表中的记录复制到该新表中。

(3)将STUDENT中叫“丁一”的学生的学号修改为“040102”,班级编号修改为“0401”。

(4)列出《计算机文化》课程的成绩高于“王五”的学生名单。

(5)分组统计选修各门课程的学生人数。

1.6 完成以下PL/SQL编程的相关要求

(1)设计一个存储过程,用来接受用户输入的学号,然后显示出该学号对应学生的所有选修课程名及课程成绩。

CREATE OR REPLACE PROCEDURE show_choice

(sno CHOICE.S_NO%TYPE)

IS cursor c_choice is

SELECT COURSE_NAME,SCORE

FROM CHOICE,COURSE WHERE CHOICE.S_NO=sno and CHOICE.COURSE_NO=COURSE.COURSE_NO ;

p_cname COURSE. COURSE_NAME%TYPE;

p_scoure CHOICE.SCORE %TYPE;

BEGIN

OPEN c_choice;

LOOP

FETCH c_choice INTO p_cname,p_scoure;

EXIT WHEN c_choice%NOTFOUND;

DBMS_OUTPUT.PUT_LINE(p_cname||' '||p_scoure);

END LOOP;

END ;

(2)设计一个函数,用来接受用户输入的课程名,然后显示出所有选修了该课程学生的成绩的平均成绩。

CREATE OR REPLACE PROCEDURE show_avgrade

(cname COURSE.COURSE_NAME%TYPE)

IS cursor c_choice is

SELECT S_NO,A VG(SCORE)

FROM CHOICE ,COURSE WHERE CHOICE.COURSE_NO=COURSE.COURSE_NO

AND COURSE_NAME=cname GROUP BY S_NO;

p_choice CHOICE%ROWTYPE;

BEGIN

OPEN c_choice;

LOOP

FETCH c_choice INTO p_choice.s_no,p_choice.score;

DBMS_OUTPUT.PUT_LINE(p_choice.S_NO||' '||p_choice.score);

EXIT WHEN c_choice%NOTFOUND;

END LOOP;

END ;

(3)设计一个触发器,用于在更新了课程表内容后,更新与课程表有关联的表的内容。

1.7 将创建的表进行逻辑备份

exp S110502221/S110502221 grants=y tables=(DEPARTMENT,CLASS,STUDENT,TEACHER,CHOICE,TEACHING,COURSE)

file='d:\s110502221'

实验总结

通过这次课程设计,我学到很多东西,包括表的创建,表约束的管理,表参数的设置,删除表,修改表等操作有了一定的了解,能使用sql语句创建表。

主键与外键的约束也很重要,主关键字约束指定表的一列或几列的组合的值在表中具有惟一性,即能惟一地指定一行记录。每个表中只能有一列被指定为主关键字,且IMAGE 和TEXT 类型的列不能被指定为主关键字,也不允许指定主关键字列有NULL 属性。

外关键字约束定义了表之间的关系。当一个表中的一个列或多个列的组合和其它表中的主关键字定义相同时,就可以将这些列或列的组合定义为外关键字,并设定它适合哪个表中哪些列相关联。

游标的应用,游标分为显性游标和隐性游标,显式游标是由用户定义、操作,用于处理返回多行数据的SELECT查询。隐式游标是由系统自动进行操作,用于处理DML语句和返回单行数据的SELECT查询。在实际操作中还有很多不了解,不懂的地方,今后还要努力学习这方面的知识。

vb大作业 实验报告

软件技术实验报告 学号2009300186 姓名赵佶男班级010109卓 越 大作业机房管理系统 课题基本目标要求: 1)可在系统中由系统管理员按班级指定时间进行上机课时安排,安排上机不得与其他已安排机时冲突。 2)在指定上机课时段,除了上机班级学生可以登录外,其余无关学生一律不得登录,除非系统管理员授权。预定上机时间结束时,自动 提前5分钟提示,待真正结束时即自动锁屏。 3)除上机课时安排以外,可以在机动时间段接受学生凭个人一卡通上机,且上机实施计时自动收费(即扣除学生一卡通上因上机而应缴 纳的上机服务费) 4)在接受零散学生付费上机时,可自动为其分配空闲机器并授权使用,在分配机器时应考虑机器的使用情况分布均匀,即每次分配机器是 前一时段未曾使用的机器,当学生一卡通上的余额,不足以支付1 小时上机服务费时,应提示其下机充值,并实施锁屏。 实验步骤: 首先,根据大作业的要求,我建立了机器表,流水表,学生基本情况表,上课表,以及一卡通表五个数据库表。机器表用来按照使用情况,选择空闲时间最长的机器。流水表用来记录现在机器以及人员使用的情况,是个

动态表,用来方便的取用和修改数据。学生基本情况表用来存放学生的学号,密码,班级等基本情况,以实现学生上课登录和自由登录。上课表存放各个班级的上课下课时间,以实现排课功能。一卡通表记录了每个学生一卡通内的金额,用来帮助实现上下机的扣费,以及余额不够支付一小时时间情况下得强制下机。 然后,我进行了窗体的设计。经过筛选优化,我设计了五个窗体,分别是主选择窗体,注册窗体,登录窗体,上课安排窗体,实时计费和下机窗体。 主选择窗体可以用来进行上课登录、学生自由登录、管理员登录和机器的推荐。注册窗体是用来进行学生登录密码的注册。登录窗体限制学生的学号和密码必须匹配才能登录。上课安排窗体可以输入班号、上下课时间并选择星期值。实时计费和下机窗体用来扣费并方便学生随时下机。 接下来,要按照要求进行代码的编写。 A)可在系统中由系统管理员按班级指定时间进行上机课时安排,安排上机不得与其他已安排机时冲突。 此功能我在排课窗体下用select选择出全体班级的上下课以及星期值,并将管理员希望的上下课时间转换为时间类型数值,进行循环比较,用do until 语句逐个比较,使得上下课时间点都不得在其他班级的上课时间段内。如果时间不冲突,就实施修改数据库的功能,并更新保存。 B)在指定上机课时段,除了上机班级学生可以登录外,其余无关学生一律不得登录,除非系统管理员授权。预定上机时间结束时,自动提前5分钟提示,待真正结束时即自动锁屏。 在主选择窗体内有上课登录按钮和自由登录按钮。点击上课登录,输入学

sql大作业实验报告

目录 第一章、需求分析 (2) 1 、需求概述 (2) 2 、功能简介 (2) 第二章、概念结构设计 (3) 1、在员工实体内的E-R图 (3) 2、部门实体内的E-R图 (3) 3、在工资实体内的E-R图 (3) 第三章、逻辑结构设计 (4) 第四章、物理结构设计 (4) 第五章、数据库的实施和维护 (5) 一、数据库的创建 (5) 二、表格的建立 (5) 1、建立Employsse表插入数据并设计相关的完整性约束 (5) 2、建立departments表插入数据并设计相关的完整性约束 (7) 3、建立 salary表插入数据并设计相关的完整性约束 (8) 三、建立视图 (9) 四、建立触发器 (10) 五、建立自定义函数 (12) 六、建立存储过程 (13) 第六章、总结 (14)

第一章、需求分析 1 、需求概述 针对现代化公司管理情况,员工管理工作是公司运行中的一个重环节,是整个公司管理的核心和基础。它的内容对于公司的决策者和管理者来说都至关重要,所以公司管理系统应该能够为用户提供充足的信息和快捷的查询手段。但一直以来人们使用传统人工的方式管理文件工籍,这种管理方式存在着许多缺点,如:效率低、保密性差,另外时间一长,将产生大量的文件和数据,这对于查找、更新和维护都带来了不少的困难。 公司员工管理系统借助于计算机强大的处理能力,大大减轻了管理人员的工作量,并提高了处理的准确性。 能够进行数据库的数据定义、数据操纵、数据控制等处理功能,进行联机处理的相应时间要短。 具体功能包括:系统应该提供员工数据的插入、删除、更新、查询;员工基本信息查询的功能。 2 、功能简介 员工管理系统它可以有效的管理员工信息情况。具体功能有以下几个方面。基本信息的添加,修改,删除和查询。学生信息管理包括添加、查看学生列表等功能。

C大作业图书管理系统实验报告

附件1: 学号:00126 《面向对象程序设计》 大作业 题目学生成绩管理系统 学院文法学院 专业教育学 班级教育学1201 姓名杨欣 指导教师鄢红国 2013 年12 月20 日

目录 一设计目的 (1) 二大作业的内容 (2) 三大作业的要求与数据 (3) 四大作业应完成的工作 (4) 五总体设计(包含几大功能模块) (5) 六详细设计(各功能模块的具体实现算法——流程图) (6) 七调试分析(包含各模块的测试用例,及测试结果) (7) 八总结 (8) 十参考资料 (9)

一大作业的目的 《面向对象程序设计》是一门实践性很强的课程,通过大作业不仅可以全方位检验学生知识掌握程度和综合能力,而且还可以进一步加深、巩固所学课程的基本理论知识,理论联系实际,进一步培养自己综合分析问题和解决问题的能力。更好地掌握运用C++语言独立地编写、调试应用程序和进行其它相关设计的技能。 二大作业的内容 对图书信息(包括编号、书名、总入库数量、当前库存量、已借出本数等)进行管理,包括图书信息的输入、输出、查询、删除、排序、统计、退出.将图书的信息进行记录,信息内容包含:(1)图书的编号(2)图书的书名(3)图书的库存量。假设,现收集到了一个图书馆的所有图书信息,要求用C语言编写一个简单的图书管理系统,可进行录入、查询、修改和浏览等功能。学习相关开发工具和应用软件,熟悉系统建设过程。 三大作业的要求与数据 1、用C语言实现系统; 2、对图书信息(包括编号、书名、总入库数量、当前库存量、已借出本数)进行管理,包括图书信息的输入、输出、查询、删除、排序、统计、退出. 3、图书信息包括:其内容较多,为了简化讨论,要求设计的管理系统能够完成以下功能: (1) 每一条记录包括一本图书的编号、书名、库存量 (2) 图书信息录入功能:(图书信息用文件保存,可以一次完成若干条记录 的输入。) (3) 图书信息显示浏览功能:完成全部图书记录的显示。 (4) 查询功能:完成按书名查找图书记录,并显示。 (5) 图书信息的删除:按编号进行图书某图书的库存量. (6) 借书登记系统:可以输入读者编号和所借书号来借书。 (7) 还书管理系统:可以输入读者编号和所借书号来还书。 (8)、应提供一个界面来调用各个功能,调用界面和各个功能的操作界面应尽可能清晰美观!

Java程序设计大作业实验报告

目录 一、前言 (2) 二、需求分析 (3) 三、系统总体设计 (3) 3.1系统总体设计系统思路 (3) 3.2数据库设计 (4) 3.2.1 login1表的设计和数据 (4) 3.2.2 student表的设计和数据 (5) 3.2.3 course表的设计和数据 (5) 3.2.4 score表的设计和数据 (6) 3.3系统功能模块设计 (6) 四、系统详细设计 (7) 4.1登录模块 (7) 4.2 学生模块 (7) 4.3 教师模块 (8) 4.4 管理员模块 (8) 五、系统测试及运行结果 (9) 5.1 主界面 (9) 5.2 学生管理中心界面 (9) 5.3 教师管理中心界面 (10) 5.4 管理员管理中心界面 (10) 5.5 查询课表界面 (11) 5.6 查询成绩界面 (11) 5.7 查询教学情况界面 (11) 5.8 查询所有学生成绩界面 (12) 5.9 学生信息管理界面 (12) 5.10 学生成绩管理界面 (13) 5.11 用户管理界面 (13) 六、实验总结 (14) 七、参考文献 (14)

一、前言 随着计算机在人们生活中的普及和网络时代的来临,对信息的要求日益增加,学生信息管理业务受到了较为强烈的冲击,传统的手工管理方式传统的手工管理方式已不能适应现在的信息化社会。如何利用现有的先进计算机技术来解决学生信息管理成为当下的一个重要问题,学生信息管理系统是典型的信息管理系统,其开发主要就是针对前台的页面展示以及后台数据的管理。对于前者,要求应用程序功能完备,易于使用,界面简单;而对于后者,则要求数据库具有一致性、完整性,并能够依据前台的操作来对应操作后台数据库达到一定的安全性。 本学生信息管理系统主要采用的纯JAVA代码实现图形界面系统的开发,以及数据库知识进行数据的查询,删除,插入和更新。本系统主要分为三个部分:学生模块、教师模块、管理员模块。其中学生模块实现的功能:查询课表信息和查询成绩。教师模块实现的功能:查询课表信息、查询教学情况和查询所有学生的各科成绩。管理员模块实现的功能:课表信息的管理、学生信息管理、学生成绩管理和用户信息管理。

UML大作业实验报告

UML 建模大作业实验报告 组号:选题名:E-store 网上书店系统小组成员

.1.需求模型 从用户角度描述系统功能的用例 登录 (from Use Case View) .J 八. 修改 (from Use Case View) 个人信息维护 (from Use Case View) 从系统管理员角度描述系统功能的用例 (from Use Case View) 补充 注册 选购 (from Use Case View) * II f (from Use Case View) f 令查看 图书浏览 (from Use Case View) II 7 ------- 亠 '收藏夹维护 (from Use Case View) ' (from Use Case View) 游客 会员 (from Use Case View) (from Use Case View) 购物车管理 添加 I (from Use Case View), (from Use Case View) 图书查询 (from Use Case View) ■订单维护 (from Use Case View) 删除 (from Use Case View) 结账 (from Use Case View) 订单状态查询 (from Use Case View) 缺书登记 (from Use Case View) 一 一' 意见反馈 (from Use Case View)

■分配权限 管理员(from Use Case View) 添加人员(from Use Case View) (from Use Case View) 后台用户管理 ---- 弋 (from Use Case View) ■ > ^,X**(from Use Case View) (from Use Case View) 折扣管理 Z I 身份验证 (from Use Case View) ? 、 销售管理 (from Use Case View) 二d ____ - \图书管理 \ h - ■■■ ■ (from Use Case View) .辿—-- 网站用户管理 V (from Use Case View) 订货管理 (from Use Case View) 查看人员 (from Use Case View) 查看意见反馈 (from Use Case View) 2.分析模型 2.1.架构模型 (from Use Case View) r梟—_ ■<- ■- V 删除 '■ (from Use Case View) 辽二询 (from Use Case View) / 统计 (from Use Case View) 订货通知 (from Use Case View) ,沖后台数据库 (from Use Case View) / (from Use Case View) 服务商 (from Use Case View)

SQL大数据库期末大作业

学校:联合大学 系别:信息管理系 :超 学号:06 《餐饮业信息管理系统的开发》 1、本项目的需求分析 随着今年来中国餐饮行业的日益火爆,在强烈的行业竞争中,一个高效的餐饮信息管理系统的应用,无疑是至关重要的。高效,便捷的管理系统,不仅仅极大的方便了食客的就餐,同时对于餐饮公司的各项信息管理有着很大的帮助,同时,我们的餐饮信息管理系统还能帮助餐厅降低错误率,扩大营业围,增加知名度等。 为了使得系统在操作的过程中,更加便捷,具有针对性,本次系统设计主要分为:员工登陆操作信息系统,以及店主操作管理信息系统。不同的设计从而达到不同的功能,实现信息的有效传达与管理。 第一:在员工使用本餐饮信息管理系统应可以实现以下功能: 1.添加修改查询客户会员信息(修改客户信息需客户确认) 2.查询菜单 3.添加查询预定信息,为老顾客打折 4.客户可以在自己的会员账户里充值 5.顾客可以用现金买单也可以从会员账户里扣取 第二:管理员使用本餐饮信息管理系统应可以实现以下功能: 1.添加修改查询客户会员信息(修改客户信息需客户确认) 2.添加修改查询菜单信息,最好能看到菜品图片 3.添加查询预定信息,为老顾客打折 4.客户可以在自己的会员账户里充值 5.顾客可以用现金买单也可以从会员账户里扣取 6.设定具体的打折方法 7.添加职员信息,权限也可以定为管理员。 8.可以查询使用者的现金收款金额。 二、餐饮业管理数据库管理系统的E-R模型(概念结构设计) 1.用户(员工)的信息:

编号、密码、类型、、、收款金额 2.客户信息: 用户编号、客户编号、、、密码、开卡时间、卡余额 3.食谱: 类型、名称、价格、配料、照片 4.预定: 用户编号、日期、预定时间、客户、类型、预定食谱、桌号 5桌台管理: 桌号、使用情况、 6.点餐管理: 用户编号、类型、菜品、数量、价格、照片 7.盈利管理: 日期、日支出金额、店收入、外卖收入、盈利额度 各对象之间的联系图: 用户E-R图 主要存储一些用户信息,如用户的账号、密码和类型地点等等,主要用于用户登录,添加客户和添加预定时会使用到用户信息。

c++大作业学生实验报告

学生实验报告 实验课名称: C++程序设计 实验项目名称:综合大作业——学生成绩管理系统专业名称:电子信息工程 班级: 学号: 学生: 同组成员: 教师:

2011 年 6 月 23 日 题目:学生成绩管理系统 一、实验目的: (1)对C++语法、基础知识进行综合的复习。 (2)对C++语法、基础知识和编程技巧进行综合运用,编写具有一定综合应用价值的稍大一些的程序。培养学生分析和解决实际问题的能力,增强学生的自信心,提高学生学习专业课程的兴趣。 (3)熟悉掌握C++的语法和面向对象程序设计方法。 (4)培养学生的逻辑思维能力,编程能力和程序调试能力以及工程项目分析和管理能力。 二、设计任务与要求: (1)只能使用/C++语言,源程序要有适当的注释,使程序容易阅读。 (2)至少采用文本菜单界面(如果能采用图形菜单界面更好)。 (3)要求划分功能模块,各个功能分别使用函数来完成。 三、系统需求分析: 1.需求分析: 为了解决学生成绩管理过程中的一些简单问题,方便对学生成绩的管理 (录入,输出,查找,增加,删除,修改。) 系统功能分析: (1):学生成绩的基本信息:学号、、性别、C++成绩、数学成绩、英语成绩、 总分。 (2):具有录入信息、输出信息、查找信息、增加信息、删除信息、修改信息、 排序等功能。 2.系统功能模块(要求介绍各功能) (1)录入信息(Input):录入学生的信息。 (2)输出信息(Print):输出新录入的学生信息。 (3)查找信息(Find):查找已录入的学生信息。 (4)增加信息(Add):增加学生信息。 (5)删除信息(Remove):在查找到所要删除的学生成绩信息后进行删除并输出删除后其余信息。 (6)修改信息(Modify):在查到所要修改的学生信息后重新输入新的学生信息从而进行修改,然后输出修改后的所有信息。 (7)排序(Sort):按照学生学号进行排序。 3.模块功能框架图

虚拟仪器大作业实验报告

东南大学生物科学与医学工程学院 虚拟仪器实验报告 大作业 实验名称:基于MIT-BIH心率失常数据库的心电信号系统的设计专业:生物医学工程 姓名:学号: 同组人员:学号: 实验室: 综合楼716 实验时间:2013/11/28 评定成绩:审阅教师:

目录 一.实验目的 二.实验内容 基于MIT-BIH心率失常数据库的心电信号系统的设计 1.实验要求和说明 2.程序设计流程图 3.程序各版块介绍说明 4.前面板的设计 5.调试过程 6.结果及分析 三.实验收获及小结 四.参考文献

一.实验目的 现代医学表明,心电信号(ECG)含有临床诊断心血管疾病的大量信息,ECG的检测与分析在临床诊断中具有重要价值,是了解心脏的功能与状况、辅助诊断心血管疾病、评估各种治疗方法有效性的重要手段。 本次大作业利用具有直观图形化编程和强大数字信号处理功能的虚拟仪器编程语言LabVIEW作为开发平台,设计一个基于虚拟仪器的简单心电信号分析系统,该系统具有心电信号的读取,处理分析,波形显示、心率显示及报警,波形存储和回放等功能。 二.实验内容 1.实验内容及要求 基于MIT-BIH心率失常数据库的心电信号系统的设计 1. 本次大作业所用原始信号是从MIT-BIH(Massachusettes Institute of and Beth Israel Hospital,美国麻省理工学院和波士顿贝丝以色列医院)心率数据库(https://www.360docs.net/doc/6f9905994.html,/physiobank/database/mitdb/)中选取心电信号作为实验分析的数据。设计的系统要求对原始心电信号进行读取、绘制出其时域波形,利用原始心电数据中的时间数据控制显示时间,并具有保存回放功能,同时具有心率过快或过缓报警提示功能。 2. 心电信号是微弱低频生理电信号,通常频率在0.05Hz~100Hz,幅值不超过 4mV,它通过安装在皮肤表面的电极来拾取。由于实际检测工况的非理想,在ECG 信号的采集过程中往往会受到工频噪声及电极极化等各种随机噪声的影响。噪声的存在降低了诊断的准确性。其中影响最大的是工频干扰和基线漂移噪声。因此,在ECG 信号检测过程中,如何抑制工频干扰和基线漂移等是必须解决的问题。要求选择并设计合适的滤波器,除去所给心电信号的工频干扰和基线漂移。 3. 检测心率:检测信号心电的R波,计算平均心率和实时心率(R-R波时间间隔 的倒数),并显示实时心率和平均心率。 4. 对任一路心电信号滤波前后的信号进行时域分析和频谱分析,分别显示出结 果。

车牌识别综合实验报告大作业

数字图像处理综合实验报告 车牌识别技术(LPR) 组长:__ ******_____ 组员:___ _****** _ ___ _******_____ ____ _*******___ 指导老师:___ *******_____ *****学院****学院 2010年6月10日

实验五车牌识别技术(LPR) 一、实验目的 1、了解车牌识别系统的实现,及车牌识别系统的应用; 2、了解并掌握车牌识别系统如何实现。 二、实验内容 1、车牌识别系统的图像预处理、 2、车牌定位、 3、字符分割 4、字符识别 三、实验原理 车辆牌照识别(LPR)系统是一个专用的计算机视觉系统,它能够自动地摄取车辆图像和识别车牌号码,可应用在公路自动收费、停车场管理、失窃车辆侦察、门卫系统、智能交通系统等不同场合。LPR系统的广泛应用将有助于加快我国交通管理自动化的进程。 1、预处理 摄像时的光照条件,牌照的整洁程度,摄像机的状态(焦距,角度和镜头的光学畸变),以及车速的不稳定等因素都会不同程度的影响图像效果,出现图像模糊,歪斜或缺损,车牌字符边界模糊不清,细节不清,笔画断开,粗细不均等现象,从而影响车牌区域的分割与字符识别的工作,所以识别之前要进行预处理。预处理的包括: 1)消除模糊—— 用逆滤波处理消除匀速运动造成的图像运动模糊 2)图像去噪。 通常得到的汽车图像会有一些污点,椒盐噪声,应用中值滤波 3)图像增强 自然光照度的昼夜变化会引起图像对比度的不足,所以必须图像增强,可以采用灰度拉伸,直方图均衡等 通过以上处理,提高了图像的质量,强化了图像区域。

2、车牌定位 自然环境下,汽车图像背景复杂、光照不均匀,如何在自然背景中准确地确定牌照区域是整个识别过程的关键。首先对采集到的视频图像进行大范围相关搜索,找到符合汽车牌照特征的若干区域作为候选区,然后对这些侯选区域做进一步分析、评判,最后选定一个最佳的区域作为牌照区域,并将其从图象中分割出来。 ? 图像的灰度化 ? 图像灰度拉伸 ? 对图像进行边缘检测 采用Sobel 算子经行边缘检测 该算子包含两组3*3的矩阵,分别为横向及纵向,将之与图像作平面卷积,即可分别得出横向及纵向的亮度差分近似值。如果以A 代表原始图像,Gx 及Gy 分别代表经横向及纵向边缘检测的图像,其公式如下: A Gx *]101202101?????+-+-+-?????= and A *121000121Gy ?? ?? ? ---+++?????= 图像的每一个像素的横向及纵向梯度近似值可用以下的公式结合,来计算梯度的大小。 2 y 2 x G G G += 然后可用以下公式计算梯度方向。 ??? ? ??=x y G G arctan θ 在以上例子中,如果以上的角度θ等于零,即代表图像该处拥有纵向边缘,左方较右方暗。 ? 对其进行二值化 ? 纹理分析法 行扫描行法是利用了车牌的连续特性。车牌区域有连续7个字符,而且字符与字符之间的距离在一定范围内。定义从目标到背景或者从背景到目标为一个跳变。牌照区域相对于其它非车牌区域跳变多,而且间距在定范围内和跳变次数大于一定次数,并且连续满足上述要求的行要达到一定的数目。 从下到上的顺序扫描,对图像的每一行进行从左向右的扫描,碰到跳变点记录下当前位置,如果某行连续20个跳变点以上,并且前一个跳变点和后一个跳变点的距离在30个像素内,就记录下起始点和终止点位置,如果连续有10行以上这样的跳变点,我们就认为该区域就是车牌预选区域。 3、字符分割: 完成牌照区域的定位后,再将牌照区域分割成单个字符,然后进行识别。字符分割一般采用垂直投影法。由于字符在垂直方向上的投影必然在字符间或字符内的间隙处取得局部最小值的附近,并且这个位置应满足牌照的字符书写格式、字符、尺寸限制和一些其他条件。利用垂直投影法对复杂环境下的汽车图像中的字符分割有较好的效果。 ? 车牌区域灰度二值化

数据库设计大作业

《数据库原理》课程大作业数据库设计与应用开发 课题名称:实验教学管理数据库设计 学号: 101530518 姓名:庞彪 专业年级: 10 级软工四班 成绩:

内容与要求 1. 请结合软件类专业课程实验教学环节设计数据库,实现实验教学的有效管理,具体功能应包括但不限于: (1)教师可以根据不同课程编辑和发布实验内容; (2)学生可以浏览实验内容,同时完成作品的提交; (3)学生可以在规定时间内填写、修改和提交实验报告; (4)教师可以通过应用系统批改实验报告,并提交成绩; (5)学生可以查询个人实验成绩; 2. 给出数据库设计各个阶段的详细设计报告,包括: (1)需求分析 (2)概念结构设计 (3)逻辑结构设计 (4)物理结构设计与实施 3. 写出应用系统的主要功能设计; 4. 写出收获和体会,包括已解决和尚未解决的问题,进一步完善的设想和建议; 5. 独自完成作业,有雷同的平分得分; 6. 也可以自行设计课题。

目录 1 绪论 (1) 2 应用系统功能设计 (2) 2.1 业务操作流程 (3) 2.2 系统功能设计 (3) 3 数据库设计 (4) 3.1 需求分析 (4) 3.2 概念结构设计 (7) 3.3 逻辑结构设计 (7) 3.4 物理结构设计与实施 (9) 4 结束语 (9) 4.1 收获和体会 (9) 4.2 总结与展望 (10)

1 绪论 在日常实验教学过程中,我们会遇到一些各种各样的问题,为了方便广大师生,提高教学效率,我们需要设计一种实验教学管理系统数据库软件,加强教师与学生之间的互动,实现信息化的现代化教育模式。 首先面临的问题是怎样实现教师安排学生进行实验的地点和时间。在遭遇不可预知因素时(例如:停电,教师请假,学生请假,学生设备损坏等),能够使师生交流如何解决这些特殊情况,将实验正常的进行。 其次教师可以利用该软件根据实际情况(如:不同课程等)编辑和发布实验内容,这些实验内容将保存下来,当进行教学评估时能够及时的将其任意内容调用出来。教师也能够对发布过的实验内容进行修改,但学生没有修改的权限。 第三,学生可以通过软件浏览实验当天教师发布的实验内容,也可以查找之前进行过的实验。当实验完成后,学生可以通过该系统进行作业的提交,每个学生只能提交一次作业。学生可以在规定的时间内填写、修改和提交实验报告,如果学生超过规定时间想要修改实验内容,需要向教师申请权限。 第四,教师能够通过该系统查收学生上交的实验报告,对所有的实验报告具有修改其内容的权利。对每个学生的实验成绩进行评估后,教师可以通过该系统提交各个学生的实验成绩,系统将这些内容存储到对应的学生档案数据中,如果出现提交错误的情况:如果在提交当天发现提交错误成绩,教师可以直接对成绩进行修改重新提交;否则教师与学生(成绩错误)需要向教务处申请复查成绩,核实情况后进行修改。 最后,学生可以通过该系统进行个人实验成绩的查询,当发现成绩有误时,可以通过该软件向教师申请成绩复查,教师收到成绩复查请求后,经过核实情况,如果情况属实,则向教务处提交成绩修改请求,核实情况后进行成绩的修改。 该实验教学管理系统最终目的是实现快捷的教学内容安排,方便的学生成绩查询,加强师生互动,最终达到提高教学效率和质量,构建信息化和谐教学模式。

C--大作业图书管理系统实验报告

附件1: 《面向对象程序设计》 大作业 题学专班姓目 院 业 级 名 学生成绩管理系统 文法学院 教育学 教育学1201 杨欣 指导教师鄢红国 2013 年12 月20 日学号:0121213640126

目录 一二三四五六七八十设计目的 (1) 大作业的内容 (2) 大作业的要求与数据 (3) 大作业应完成的工作 (4) 总体设计(包含几大功能模块) (5) 详细设计(各功能模块的具体实现算法——流程图) (6) 调试分析(包含各模块的测试用例,及测试结果) (7) 总结 (8) 参考资料 (9)

一二 大作业的目的 《面向对象程序设计》是一门实践性很强的课程,通过大作业不仅可以全方位检验学生知识掌握程度和综合能力,而且还可以进一步加深、巩固所学课程的基本理论知识,理论联系实际,进一步培养自己综合分析问题和解决问题的能力。更好地掌握运用C++语言独立地编写、调试应用程序和进行其它相关设计的技能。 大作业的内容 对图书信息(包括编号、书名、总入库数量、当前库存量、已借出本数等) 进行管理,包括图书信息的输入、输出、查询、删除、排序、统计、退出.将图书的信息进行记录,信息内容包含:(1)图书的编号(2)图书的书名(3)图书的库存量。假设,现收集到了一个图书馆的所有图书信息,要求用C语言编写一个简单的图书管理系统,可进行录入、查询、修改和浏览等功能。学习相关开发工具和应用软件,熟悉系统建设过程。 三大作业的要求与数据 1、用C语言实现系统; 2、对图书信息(包括编号、书名、总入库数量、当前库存量、已借出本数)进行管理,包括图书信息的输入、输出、查询、删除、排序、统计、退出. 3、图书信息包括:其内容较多,为了简化讨论,要求设计的管理系统能够 完成以下功能: (1)每一条记录包括一本图书的编号、书名、库存量 (2)图书信息录入功能:(图书信息用文件保存,可以一次完成若干条记录 的输入。) (3)图书信息显示浏览功能:完成全部图书记录的显示。 (4)查询功能:完成按书名查找图书记录,并显示。 (5)图书信息的删除:按编号进行图书某图书的库存量. (6)借书登记系统:可以输入读者编号和所借书号来借书。 (7)还书管理系统:可以输入读者编号和所借书号来还书。 (8)、应提供一个界面来调用各个功能,调用界面和各个功能的操作界面应 尽可能清晰美观!

JAVA拼图大作业实验报告

2016秋季学期《Java语言》大作业 系统说明报告

目录 1项目目的与意义 (3) 1.1项目背景说明 (3) 1.2项目目的与意义 (3) 2 软件开发环境与技术说明 (4) 2.1软件开发环境 (4) 2.2软件开发技术描述 (4) 3系统分析与设计 (5) 3.1项目需求分析说明 (5) 3.2系统设计方案 (5) 4系统源代码 (8) 4.1系统源代码文件说明 (8) 4.2源代码 (8) 5系统使用说明书 (41) 6参考资料 (49) 7附件说明 (49)

1项目目的与意义 1.1项目背景说明 JAVA语言2016年学期期末大作业-44拼图游戏的设置 1.2项目目的与意义 目的:实现简单的4X4JAVA拼图游戏的简单功能,可以进行用户的登录和拼图游戏的完成,给用户以良好的游戏体验,再加入更多个性化的内容 意义: 从用户角度,设计一个简单但是十分考验人的小型拼图游戏,让人们在简单方便的操作中舒缓自己一天的疲惫,和朋友一起进行挑战,不断地突破,展现游戏的美丽,实现游戏的价值 从开发者角度,这是对我半年JAVA语言学习的检测,同时我也自学了许多东西,比如数据库的链接,SQL语句和JAVA的各种函数,让我可以真正的体会到开发一个项目的过程和需要付出的不懈努力,也让我享受到了自己尽全力完成一个项目的过程和完成后的喜悦。

2 软件开发环境与技术说明 2.1软件开发环境 JAVA开发环境-Eclipse JAVA支持包:import java.awt.*; import java.awt.event.ActionEvent; import java.awt.event.ActionListener; import java.sql.*; import javax.swing.*; mysql-connector-java-5.1.40-bin.jar(MySql驱动jar 包) 数据库环境:MySql数据库(使用的是Navicat图形界面) 2.2软件开发技术描述 1.拼图游戏界面设计主要使用了JAVA的GUI图形界面,主登录界面和账号注册界面使用的是流式FlowLayOut布局进行登录框和按钮的设置,而拼图游戏界面则是使用的网格式GridFlowOut布局. 2.通过连接MySql数据库,(名称:user),创建数据表user_info 列名称为:username(账号),password(密码),score(过关时间), Count(过关所用步数);实现了游戏的账号注册和登录功能,以及每个账号成绩的记录和储存,并可以再推行界面中显示每个用户的游戏

云南大学数据库期末大作业:数据库设计

云南大学软件学院实验报告 课程:数据库原理与实用技术实验学期:任课教师: 专业:学号:姓名:成绩: 期末大作业:Electronic Ventor 数据库设计 一、实验目的 (1)掌握数据库设计的基本方法 (2)掌握各种数据库对象的设计方法 (3)熟练掌握DBA必须具备的技能 二、实验内容 1、根据项目的应用和项目的需求说明文档,进行详细的需求分析,给出需求分析的结果。 (1)客户可以在网站上注册,注册的客户要提供客户的姓名、电话、地址,以方便售后和联系,姓名即作为用户名,和密码一起用于注册和登录,客户编号可唯一识别用户,卡号可网上支付。其中地址、电话以方便联系和寄货; (2)网站管理员可以登记各种商品,供客户查询,订购。登记商品时要提供商品的名称、价格,商店中现有商品量,商品编号可唯一识别商品; (3)类别表示商品所属类别,类别编号可唯一识别类别,其中包含了,商品类别名称和制造厂商,可以对商品进行分类售卖; (4)客户可以在网上下订单,也可以到实体店购物,其在订单上所选择的支付方式不同(信用卡、借记卡、现金,现金代表实体店购物),网站管理员可以查看订单,并及时将订单的处理情况更新(比如货物已寄出的信息,订单状态:0:未处理,1:已处理,2:已发货);订单编号可唯一识别订单,订单中包含订单产生时间,订单状态,支付方式和支付总额; (5)实体商店有自己的店名,卖多种商品,每个商店都有固定的地址,顾客可以到店中买商品,(注:在实体店中购买商品的顾客一律将顾客名默认为佚名),当商店中的库存量小于10时会有提醒到仓库中拿货; (6)配送单中包含查询号可唯一识别配送单,配送人,联系方式; (7)仓库中仓库编号可唯一识别仓库,其中每个仓库都有区号,代表其地址。 (8)各实体间关系 1)一个客户可以购买多种商品,一种商品可以被多个客户购买; 2)一个商品属于且仅属于一种类别,一种类别的商品可以包含多个商品或没有; 3)一种商品放在多个商店中销售,一个商店至少销售一种或销售多种商品; 4)一个订单对应一个客户,一个客户对应多个订单; 5)一个订单对应至少有一件商品或多件,一个商品对应多个订单; 6)一个订单可以有一个商品配送单 7)一个仓库可以存放多种商品,一种商品可以存放在一个仓库;

python大作业实验报告

大学计算机基础 (理工)大作业 ――暨南大学南校区生活指南系统 G108 甘颖欣熊梦娜翁婉晖梁绮婷李嘉顺 2015-1-3 目录目录 (2)

“暨南大学南校区生活指南系统”选题说明书 (3) 1. .............................................................................................................................................................. 成员分组和任务分工 .. (3) 2. .............................................................................................................................................................. 选题说明3 2.1 选题任务描述 (3) 2.2 设计思路描述 (4) 2.3 程序运行效果........................................................ . (4) 2.4 涵盖的主要知识点 (4) 3. .............................................................................................................................................................. 进度安排4“暨南大学南校区生活指南系统”实现报告. (5) 1. 成员分组和任务分工 (5) 2. .............................................................................................................................................................. 设计实现详细说明 . (5) 2.1 选题任务详细描述 (5) 2.2 设计思路详细描述 (6) 2.3 涵盖的主要知识点 (12) 3. 总结 (12)

#数据库大作业实验报告 2

酒店管理系统 2014年6月10号 一.系统分析及功能简介 本次作业的目标就是设计一个酒店网站,可以提交用户需要入住的表单信息,管理人员从后台可以读取这些信息来尽快的处理客户的需求,另有很多该酒店的资料内容,可以方便的把该酒店的房间,资料,信息等内容展现给客户,使酒店的管理可以更简单化,人性化。 该酒店管理系统主要是实现房间管理、空房查询、客户入住退房管理、酒店会员管理等等。本系统用php 技术编写,数据库用MYSQL 来连接系统。 二.系统详细设计 (一)系统功能需求分析 1、登录功能——简单的登录向导 2、主界面选择分项功能: 2-1 房间管理 2-1-1 房间查询——进行空房查询,房间价格、大小等查询 2-1-2 房间办理——办理客户入住 2-1-3 信息更改——客房办理的下一步功能,也可以进入更改客 房员工分配等信息 2-2 客户管理 ——————————————————————————装 订 线 ———————————————————————————————— 报告份数: 1 实验总成绩:

2-2-1 客户信息登记——注册登记客户信息(区别于办理,只注册用户信息未必入住) 2-2-2 客户信息查询——查询客户资料 2-2-3 客户信息修改——修改客户信息 2-2-4 客户客房分配——分配客户到客房 2—3 订单管理 2-3-1 订单查询——查询当前订单 2-3-2 订单办理——办理订单 2-3-3 订单修改——修改当前订单 2—4 会员管理 2-4-1 会员查询——查询现有会员信息 2-4-2 会员办理——办理会员 2-4-3 会员修改——修改当前会员信息 (二)数据库设计 根据上面对该系统每个模块的详细分析,以及在系统分析中数据流程图的分析,设计的数据项和数据结构如下: 客户入住信息表:包括入住登记编号、入住房间号、入住日期、住客姓名、性别、年龄、证件、证件号等等。

大作业任务实验报告

武汉轻工大学2013-2014学年第 2学期《大型数据库应用》 期末考核报告 专业:信息管理与信息系统 班级:信管(2)班 学号:110502221 姓名:金楚然

2013-2014年度第二学期 《大型数据库应用》期末考核任务 1.任务内容 1.1以自己的学号为用户名建立用户; 1.2 完成以下数据库文件的创建工作: (1)创建一个永久性的表空间TEST,表空间采用自动分区管理方式,其对应的数据文件名称为USERTEST.DBF,大小为50M,并将该表空间设置为数据库默认的表空间。CREATE TABLESPACE TEST DATAFILE 'F:\app\wanglu\oradata\orcl\USERTEST.DBF' SIZE 50M EXTENT MANAGEMENT LOCAL AUTOALLOCATE; ALTER DATABASE DEFAULT TABLESPACE TEST; (2)为数据库添加一个重做日志文件组,组内包含三个成员文件,成员文件的大小为3M。ALTER DATABASE ADD LOGFILE GROUP 5 ('F:\app\wanglu\oradata\orcl\redo05a.log', 'F:\app\wanglu\oradata\orcl\redo05b.log', 'F:\app\wanglu\oradata\orcl\redo05c.log'

)SIZE 5M; 1.3使用SQL语言创建下列关系表: ( CONNECT S110502221/S110502221; CREATE TABLE CHOICE ( S_NO V ARCHAR(10) NOT NULL , COURSE_NO V ARCHAR(10) NOT NULL, SCORE NUMBER(2) , PRIMARY KEY(S_NO,COURSE_NO) ); (2)CLASS表(班级表) CREATE TABLE CLASS ( CLASS_NO V ARCHAR(10) PRIMARY KEY ,

大作业实验报告格式

实验报告 实验课程:C++面向对象程序设计 学生姓名:杨华生 学号:8000110033 专业班级:软工101班 2011年 12月 16 日

实验类型:□验证■综合■设计□创新实验成绩: 一、实验项目名称:期末大作业—综合实验 二、实验目的: 1. 掌握类与对象的基本概念。 2. 掌握类的继承与派生的基本概念。 3. 掌握多层派生时的构造函数的编写。 4. 掌握有子对象的派生类的构造函数的编写。 5. 掌握类的友元函数的编写。 三、实验基本原理:编程实现类与对象、类的继承与派生的各种操作。 四、主要仪器设备及耗材:IBM-PC机一台。 五、实验步骤:1.编写程序;2.调试程序;3.运行程序。 六、实验数据及处理结果 1. 程序如下: // 在程序中,每个函数必须有功能注释,关键的语句有适当的注释。程序结构清晰,不同层次的语句采用缩进形式。 #include #include using namespace std; class Person { public: Person(string nam,char s) //构造函数 { name=nam; sex=s; }

void display() //定义成员函数 { cout<<"name : "<

电子技术实验大作业实验报告-上海交通大学

电子技术实验大作业实验报告 1.实验名称 四位二进制数的可控加法 / 减法 2.设计思路 本作业主要完成的是四位二进制数的可控加法。实现了附加功能中的在四位数码管上显示和数值溢出的显示功能。 本作业主要由两个模块组成,一个是主程序模块,负责较简单的加法运算;另外一个是a_to_g子程序模块,输入一个4位二进制数字,用来把数字显示在数字管上。数值溢出则是看cout位是否为1,为1则溢出。 3.程序代码 `timescale 1ns / 1ps w(sum),.clk(clk),.dp_a_to_g(dp_a_to_g),.out0(out0),.cout(cout)); \ endmodule module a_to_g( input [3:0]sw, input clk, input cout,

output reg [6:0]dp_a_to_g, output reg [3:0]out0 # ); integer num; integer s; integer i; initial begin i=0; end always @(posedge clk) & begin i=i+1; if (i[16:14]>3) i=0; s=i[15:14]; if (~cout) begin case(s) 0:out0=4'b0111; 1:out0=4'b1011; 2:out0=4'b1101;

3:out0=4'b1110; } endcase case(s) 0:num=sw[0]; 1:num=sw[1]; 2:num=sw[2]; 3:num=sw[3]; endcase end else begin out0=4'b0000; 》 num=2; end end always @(*) case(num) 0:dp_a_to_g=7'b0000001;

相关文档
最新文档