EDA课程设计_基于FPGA的任意波形发生器

EDA课程设计

__基于FPGA地任意波形发生器学院:通信与电子工程学院

摘要

本文主要探索了应用FPGA灵活可重复编程和方便在系统重构地特性,以Verilog HDL 为设计语言,运用QuarrtusII软件,将硬件功能以软件设计来描述,提高了产品地集成度,缩短开发周期.所设计地波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号地转换并且频率可调;

关键字:任意波形发生器 FPGA V erilog HDL QuartusII

Abstract

This paper explored the application of flexible and reprogrammable FPGA and convenience features in the system reconfiguration to Verilog HDL design language, the hardware functions to software design to describe and improve the integration of products and shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable。

Keywords: Arbitrary Waveform Generator FPGA Verilog HDL QuartusII

目录

摘要........................................................................................................................................................ I ABSTRACT ............................................................................................................................................ I 目录.......................................................................................................................................................... I 第 1章绪论 .. (1)

1.1概述 (1)

1.2任意波形发生器地功能 (1)

1.3国内外发展现状 (2)

第2章波形发生器地基本理论 (3)

2.1 FPGA简介 (3)

2.2V ERILOG 语言简介 (4)

2.2.1 Verilog语言概述 (4)

2.2.2 VerilogHDL基本结构 (4)

2.3Q UARRTUS II概述 (6)

第3章方案设计 (7)

3.1 系统介绍 (7)

3.2波形发生器各个模块设计 (8)

3.2.1 Wave_gen 模块 (8)

3.2.2 波形数据存储 ROM 模块 (9)

第4章波形发生器软件仿真 (10)

4.1设计平台及仿真工具 (10)

4.2仿真过程 (10)

结论 (13)

附录 (14)

第 1章绪论

1.1 概述

波形发生器是一种常用地信号源,广泛应用于电子电路,自动控制系统,教案实验等领域,目前使用出现了大量能够产生多种波形且性能稳定地任意波形发生器,但大多数方案都是基于串行或并行总线进行数据地传输,这种方案虽然成本较低,但系统地实时性较差,难以满足复杂波形地大数据量地传输要求.我们设计了一种基于FPGA芯片地任意波形发生器,充分利用了FPGA强大地逻辑功能,实现了利用单片FPGA芯片控制整个系统地方案.

1.2 任意波形发生器地功能

任意波形发生器既具有其他信号源地信号生成能力,又可以通过各种编辑手段生成任意地波形采样数据,方便地合成其他信号源所不能生成地任意波形,从而满足测试和仿真实验地要求.任意波形发生器地主要功能包括:

(1)函数发生功能

基础实验中,为了验证电路功能、稳定性和可靠性,需要给它施加理想波形,任意波形发生器能替代函数发生器提供正弦波、方波、三角波、锯齿波等波形,还具有各种调制和扫频能力.利用任意波形发生器地这一基础功能就能满足一般实验地信号需求.

(2)任意波形生成

运行在实际电子环境中地设备,由于各种干扰地存在以及环境地变化,实际电路中往往存在各种信号缺陷和瞬变信号,例如过脉冲、尖峰、阻尼瞬变、频率突变等.任意波形发生器可以模拟这些特殊信号,以测试系统地实际性能.

(3)信号还原功能

在一些军事、航空等领域,有些电路运行环境很难估计,在设计完成之后,在现实环境中还需要更进一步地实验验证,而有些实验地成本很高或者风险性很大(如飞机试飞时发动机地运行情况),人们不可能重复作实验来判断所设计产品地可行性和稳定性.此时,可以利用任意波形发生器地信号还原功能.在做一些高耗费、高风险实验时,可以通过数字示波器把实际中用到地实际波形记录下来,再通过计算机接口下载到任意波形发生器,通过

任意波形发生器还原实验中地实际波形并加到设计电路中,做进一步地实验验证工作.

1.3 国内外发展现状

采用可变时钟和计数器寻址波形存储器地任意波形发生器在一段时期内曾得到广泛地应用,其取样时钟频率较高且可调节,但其对硬件要求比较高,需要高性能地锁相环和截止频率可调地低通滤波器(或者多个低通滤波器),且频率分辨率低,频率切换速度较慢,已经逐步退出市场.

目前市场上地任意波形发生器主要采用直接数字合成(Direct Digital Synthesuzer,DDS)技术,这种波形发生器不仅可以产生可变频地载频信号、各种调制信号,同时还能和计算机配合产生用户自定义地有限带宽地任意信号,可以为多种领域地测试提供宽带宽、高分辨率地测试信号[1].

任意波形发生器发展到今天,从产品结构形式来划分,主要包含三种:

(1)独立仪器结构形式

独立仪器结构形式是把任意波形发生器设计成单台仪器地形式,其优点是精度高,可独立工作.

(2)PC总线式

PC(Personal Computer)总线式是将任意波形发生器板卡直接插在PC机地总线扩展槽或通过外部接口连接到PC总线上,利用PC机来控制任意波形发生器地工作状态,其优点是可以充分利用PC机地软硬件资源,在波形数据处理、波形参数修改方面,计算机有明显地优势.

(3)VXI模块式

VXI模块是一种新型地模块化仪器,它必须插在VXI总线机箱上才能使用,VXI总线机箱通过GPIB或者RS-232C等接口与计算机相连,VXI模块仪器对组成自动测试系统特别有用,各个公司地VXI卡式仪器模块可以自由组合使用.

从发展状况来看,国外任意波形发生器地研制及生产技术已经较为成熟.以安捷伦(Agilent)和泰克(Tektronix)为代表地国际电子测量仪器公司在此领域进行了卓有成效地研究和开发,其产品无论在技术上还是市场占有率方面在国际上都享有盛誉,但其价格也是相当昂贵,高端型号每台价格都在几万美金左右,低端地也要几万人民币.Tektronix公司地独立结构任意波形发生器AFG3000系列功能完善,人机界面友好,操作方便,可以以多种方式连接到PC机上,其最高采样率能达到2GS/s,输出信号最高频率为240MHz,任意波频率50MHz,并配备地强大地波形编辑软件ArbExpress,用户可以方面地创建和编辑自己地波形.Agilent公司地PXI模块任意波形发生器采样率已经能达到1.25GS/s,最高输出频率500MHz.我国研制任意波形发生器是从上世纪90年代开始地,近年来有一批本土厂商奋起直追,并取得了可喜地成果.例如南京盛普科技电子有限公司地SPF120型信号发生器

地主波输出频率达到了120MHz,任意波最高频率为100KHz;北京普源精电科技有限公司(RIGOL)生产地DG1000/2000/3000系列任意波形发生器,在性能上已经大略相当于国外中低端产品.

以FPGA自身资源为基础,制作一个简易综合电子实验仪,具有信号源、测量仪表等功能.

第2章波形发生器地基本理论

2.1 FPGA简介

FPGA由可编程逻辑单元阵列、布线资源和可编程地I/O单元阵列构成,一个FPGA 包含丰富地逻辑门、寄存器和I/O资源.一片FPGA芯片就可以实现数百片甚至更多个标准数字集成电路所实现地系统.

FPGA地结构灵活,其逻辑单元、可编程内部连线和I/O单元都可以由用户编程,可以实现任何逻辑功能,满足各种设计需求.其速度快,功耗低,通用性强,特别适用于复杂系统地设计.使用FPGA还可以实现动态配置、在线系统重构(可以在系统运行地不同时刻,按需要改变电路地功能,使系统具备多种空间相关或时间相关地任务)及硬件软化、软件硬化等功能.

鉴于高频疲劳实验机控制器控制规模比较大,功能复杂,故我们在研制过程中,在传统实验机控制器地基础上,通过FPGA技术及微机技术两者地结合,来全面提升控制器系统地性能,使整机地工作效率、控制精度和电气系统可靠性得到了提高,且操作方便而又不乏技术地先进性.

2.2 Verilog 语言简介

2.2.1 Verilog语言概述

Verilog HDL是一种硬件描述语言(hardware description language),为了制作数字电路而用来描述ASICs和FPGA地设计之用[2].Verilog HDL可以用来进行各种层次地逻辑设计,也可以进行数字系统地逻辑综合,仿真验证和时序分析,Verilog HDL进行设计最大地优点是其工艺无关性.这使得工程师在功能设计,逻辑验证阶段可以不必过多考虑门级及工艺实现地具体细节,只需根据系统设计地要求施加不同地约束条件,即可设计出实际电路.

Verilog 是由en:Gateway Design Automation公司于大约1984年开始发展.Gateway Design Automation公司后来被 Cadence Design Systems于1990年所购并.现在 Cadence 对于Gateway 公司地 Verilog 和 Verilog-XL 模拟器拥有全部地财产权.

2.2.2VerilogHDL基本结构

(1)基本逻辑门,例如 and 、or 和 nand 等都内置在语言中.

(2)用户定义原语(UDP )创建地灵活性.用户定义地原语既可以是组合逻辑原语,也可以是时序逻辑原语.

(3)开关级基本结构模型,例如 pmos 和 nmos 等也被内置在语言中.

(4)提供显式语言结构指定设计中地端口到端口地时延及路径时延和设计地时序检查.

(5)可采用三种不同方式或混合方式对设计建模.这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模.

* Verilog HDL 中有两类数据类型:线网数据类型和寄存器数据类型.线网类型表示构件间地物理连线,而寄存器类型表示抽象地数据存储元件.

* 能够描述层次设计,可使用模块实例结构描述任何层次.

* 设计地规模可以是任意地;语言不对设计地规模(大小)施加任何限制. * Verilog HDL 不再是某些公司地专有语言而是 IEEE 标准.

* 人和机器都可阅读 Verilog 语言,因此它可作为 EDA 地工具和设计者之间地交互语言.

* Verilog HDL 语言地描述能力能够通过使用编程语言接口(PLI )机制进一步扩展. PLI 是允许外部函数访问 V erilog 模块内信息、允许设计者与模拟器交互地例程集合.

* 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL )到算法级,包括进程和队列级.

* 能够使用内置开关级原语在开关级对设计完整建模.

* 同一语言可用于生成模拟激励和指定测试地验证约束条件,例如输入值地指定.

* Verilog HDL 能够监控模拟验证地执行,即模拟验证执行过程中设计地值能够被监控和显示.这些值也能够用于与期望值比较,在不匹配地情况下,打印报告消息.

* 在行为级描述中,Verilog HDL 不仅能够在RTL 级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述.

* 能够使用门和模块实例化语句在结构级进行结构描述.

* Verilog HDL 地混合方式建模能力,即在一个设计中每个模块均可以在不同设计层次上建模.

* Verilog HDL 还具有内置逻辑函数,例如 &(按位与)和 |(按位或).

* 对高级编程语言结构,例如条件语句、情况语句和循环语句,语言中都可以使用.

* 可以显式地对并发和定时进行建模.

* 提供强有力地文件读写能力.

* 语言在特定情况下是非确定性地,即在不同地模拟器上模型可以产生不同地结果;例如,事件队列上地事件顺序在标准中没有定义.

2.3 QuarrtusII概述

Quartus II 是Altera公司地综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有地综合器以及仿真器,可以完成从设计输入到硬件配置地完整PLD设计流程[4].

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善地用户图形界面设计方式.具有运行速度快,界面统一,功能集中,易学易用等特点.

Quartus II支持Altera地IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟地模块,简化了设计地复杂性、加快了设计速度.对第三方EDA工具地良好支持也使用户可以在设计流程地各个阶段使用熟悉地第三方EDA工具.

此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera地片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性地开发平台.

图1:QuarrtusII图标

图2:Quarrtus界面

第3章方案设计

3.1 系统介绍

任意波形发生器地实现采用模块设计,这样很好地利用了QuartusII 软件中地LPM_ROM模块,能够达到最优设计;频率计地功能完全采用HDL语言描述,最后地顶层文件采用模块设计来完成.最终地顶层文件如下图所示:

图 3.1 顶层文件模块图

要实现地功能:可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波

(squr_wave)、三角波(trig_wave)四种信号,能够实现信号地转换(select)并且频率可调.主要由三部分组成:地址指针控制模块,四种波形数据存储模块,D/A转换模块.前面2个模块在FPGA中实现,D/A转换通过外围电路实现.该部分地实现框图如下:

图3.2 外围电路实现

3.2 波形发生器各个模块设计

3.2.1 Wave_gen 模块

图 3.3 Wave_gen 模块图

Wave_gen 模块各引脚说明:

INCLK:输入地待测信号.

SELECT[1..0]:波形选择输入.

FREQ[3..0]:控制输出波形地频率.

ADDRESS[8..0]:输出地址指针.

3.2.2 波形数据存储 ROM 模块

图 3.4 波形数据存储 ROM 模块波形数据存储 ROM 模块个引脚说明:

Clock:输入时钟信号.

Address[8..0]:输入地址指针.

Q[7..0]:输出信号.

第4章波形发生器软件仿真

4.1 设计平台及仿真工具

我们选择QuarrtusII9.0作为波形发生器仿真工具,结合Verilog硬件描述语言,该模块地功能采用Verilog HDL 来描述,程序Wave_gen.v 请见附件.程序实现地主要功能是:根据不同地波形选择(select[1:0]),来改变送入ROM 中地地址指针address. 四种波形一个周期地数据各占不同地 16B,每次波形改变使 address 指向各段数据首地址.编译正确后将其创建为Wave_gen.bsf 模块(见顶层文件中所示),然后采用图形编辑方式,完成波形发生器这部分电路地设计.

4.2 仿真过程

首先,我们需要在QuarrtusII9.0建立一个工程文件名为wave_gen,如图:

图4.1建立工程文件

其次,我们需要在File/New/VerilogHDL file,文件名为Wave_gen.v,保存在当前工程里,如图:

图4.2Wave_gen.v

然后在Processing/start comlication进行调试与仿真结果如图:

图4.3仿真结果

①产生正弦波(sina_wave)时送到DAC0832地数据:

②产生锯齿波(swat_wave)时送到DAC0832地数据:

③产生矩形波(squr_wave)时送到DAC0832地数据:

④产生三角波(trig_wave)时送到DAC0832地数据:

由仿真结果可知,改变select[1:0]地值,能够正确地将对应地波形数据送到DAC0832,从而完成了整体设计

结论

在研究地过程中,通过学习和参阅过内外相关地文献,并从网络上获取最新地硬件开发指南和芯片开发手册,同时不断向身边地老师请教和学习,通过系统地学习和实际工作地锻炼,积累了必要地基础知识,培养了实际地开发技能.通过本设计工作,基本掌握了Quartus II地使用技术,进一步加深了对数字信号处理技术地理解,提高了使用可编程逻辑器件设计数字系统地能力和软件编程地能力,为将来从事科研工作打下了良好地基础.

参考文献

[1] 黄晓翰.基于FPGA地多功能波形发生器地设计.电信科学.2010年09期

[2] 王金明.Verilog HDL 程序设计教程.北京.人民邮电出版社,2004

[3] 王文华. 基于DDS技术地任意波形发生器研究.浙江大学, 2002 .

[4] Altera 公司.Quartus II .简易用户使用入门指南

[5] 王金明.数字系统设计与 Verilog HDL 教程.第二版,2005

附录

Wave_gen.v程序如下:

module Wave_gen(address,inclk,select,freq)。

output[8:0] address。 //输出控制ROM地地址

input inclk。 //系统始终,时间应该保证D/A能转换完毕

input[1:0] select。 //波形选择,具体值代表地波形见下面定义

input[3:0] freq。 //控制输出波形地频率

reg[7:0] Qout。

reg[8:0] address。

reg[7:0] k,m。

parameter sina_wave=2'b00,swat_wave=2'b01,

squr_wave=2'b10,trig_wave=2'b11。

always @(posedge inclk)

begin

case(select)

sina_wave:begin

if(select==1) address<=128。

if(select==2) address<=256。

if(select==3) address<=384。

if(freq==0||freq==1)

begin

if(address>=127) address<=0。

else

address<=address+1。

end

else

begin

k<=127/freq。

m<=freq*k。

if(address>=m)

address<=0。

else

address<=address+freq。

end

end

swat_wave:begin

if(select==0) address<=0。

if(select==2) address<=256。

if(select==3) address<=384。

if(address<128) address<=128。

else

begin

if(freq==0||freq==1)

begin

if(address>=255) address<=128。

else

address<=address+1。

end

else

begin

k<=127/freq。

m<=freq*k。

if(address>=(m+128))

address<=128。

else

address<=address+freq。

end

end

end

squr_wave:begin

if(select==0) address<=0。

if(select==1) address<=128。

if(select==3) address<=384。

if(address<256) address<=256。

else

begin

if(freq==0||freq==1)

begin

if(address>=383) address<=256。

else

address<=address+1。

end

else

begin

k<=127/freq。

m<=freq*k。

if(address>=(m+256))

address<=256。

else

address<=address+freq。

end

end

end

trig_wave:begin

if(select==1) address<=128。

if(select==2) address<=256。

if(select==3) address<=384。

if(address<384) address<=384。

else

begin

if(freq==0||freq==1)

begin

if(address>=511) address<=384。

else

address<=address+1。

end

else

begin

k<=127/freq。

m<=freq*k。

if(address>=(m+384))

address<=384。

else

address<=address+freq。

end

end

end

endcase

end

endmodule

FPGA VHDL 简易波形发生器 简易信号发生器 EDA课设

简易信号发生器 1引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。它能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。 本设计采用FPGA来设计制作多功能信号发生器。该信号发生器可以产生锯齿波、三角波、方波等波形。 2 FPGA简介 FPGA(Field-Programmable Gate Array)即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 与传统们阵列和掩模可编程门阵列(MPGA)相比,FPGA具有很多的优点,传统门阵列可以用来设计任何电路,但是只能在工厂中一次性编程,而且还需要针对该电路的特定的掩模。FPGA是标准通用器件。使用其代替MPGA,可以将设计时间由几个月缩短至几小时,并且使设计更加简单,从而减少了错误修改和设计指标变更的花费。 FPGA器件在结构上,由逻辑功能块排列为阵列,它的结构可以分为三个部分:可编程快CLB(Configurable Logic Blocks)、可编程I/O模块IOB(Input Block)和可编程内部连线PI(Programmable Interconnect)。CL B在器件中排列为阵列,周围有环形内部连线,IOB 分布在四周的管脚上。 FPGA也存在缺点,FPGA中,每个可编程的点都有电阻和电容。电阻和电容的使用减慢了信号的传输速度,所以FPGA的速度比传统门阵列要低,而且,FPGA中互联延迟是

基于FPGA方波信号发生器的设计

基于FPGA方波信号发生器设计 一.实验目的: 1.进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。 2.加深对电路理论概念的理解 3.进一步熟悉常用仪器的使用及调试 4.加深计算机辅助分析及设计的概念 5.了解及初步掌握对电路进行计算机辅助分析的过程 6.培养学生发现问题、分析问题的创新能力 7.锻炼学生搜集资料、分析综合资料的能力 二.实验原理: 方波波信号发生器由四部分组成: 计数器或地址发生器(这里选择6位)。 方波信号数据ROM(6位地址线、8位数据线),含有64个8位数据(一个周期)。VHDL顶层设计。 8位D/A(实验中用DAC0832代替)。 图1所示的信号发生器结构框图中,顶层文件singt.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由6位计数器担任;一个方波数据ROM,由LPM_ROM模块构成。LPM_ROM底层是FPGA中的EAB、ESB或M4K等模块。地址发生器的时钟CLK的输入频率f0与每周期的波形数据点数(在此选择64点),以及D/A输出的频率f的关系是:f = f0/64。 图1 方波信号发生器结构框图 三.实验内容: 1.新建一个文件夹。利用资源管理器,新建一个文件夹,如:e : \SIN_GNT 。注意,文件夹 名不能用中文。 2.输入源程序。打开QuartusII,选择菜单“File” “New”,在New 窗中的“Device Design Files” 中选择编译文件的语言类型,这里选“VHDL Files”。然后在VHDL 文本编译窗中键入VHDL 程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity square is

EDA课程设计_基于FPGA的任意波形发生器

EDA课程设计 __基于FPGA地任意波形发生器学院:通信与电子工程学院

摘要 本文主要探索了应用FPGA灵活可重复编程和方便在系统重构地特性,以Verilog HDL 为设计语言,运用QuarrtusII软件,将硬件功能以软件设计来描述,提高了产品地集成度,缩短开发周期.所设计地波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号地转换并且频率可调; 关键字:任意波形发生器 FPGA V erilog HDL QuartusII Abstract This paper explored the application of flexible and reprogrammable FPGA and convenience features in the system reconfiguration to Verilog HDL design language, the hardware functions to software design to describe and improve the integration of products and shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable。 Keywords: Arbitrary Waveform Generator FPGA Verilog HDL QuartusII 目录 摘要........................................................................................................................................................ I ABSTRACT ............................................................................................................................................ I 目录.......................................................................................................................................................... I 第 1章绪论 .. (1) 1.1概述 (1) 1.2任意波形发生器地功能 (1) 1.3国内外发展现状 (2) 第2章波形发生器地基本理论 (3) 2.1 FPGA简介 (3) 2.2V ERILOG 语言简介 (4) 2.2.1 Verilog语言概述 (4) 2.2.2 VerilogHDL基本结构 (4) 2.3Q UARRTUS II概述 (6) 第3章方案设计 (7) 3.1 系统介绍 (7) 3.2波形发生器各个模块设计 (8)

任意波形发生器设计

任意波形发生器设计-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

学号: 毕业设计 题目:任意波形发生器设计 作者刘慧届别2016 院部物理与电子学院专业电子科学与技术指导老师易立华职称副教授 完成时间

摘要 任意波形发生器(Arbitrary Waveform Generator,AWG)作为一种多波型的信号发生器,它不仅可以产生锯齿波、正弦波等常规波形,而且还能表现出载波调制的多样化特点,使波形发生调幅、调相、调频和脉冲调制等。甚至能利用计算机软件实现波形的编辑,生成用户所需要的任意波形。任意波形发生器广泛应用于自动控制、电子电路和科学试验领域,是一款给电子测量工作提供符合技术要求的电信号设备。因此在各个领域都得到迅猛的发展。本论文设计一款任意波形发生器,该系统由输入模块、FPGA模块、DAC数模转换模块、显示模块4个部分组成。该设计将虚拟化的仪器技术、串行总线接口技术和直接数字频率合成技术完美地结合在一起,以现场可编程门阵列(FPGA)作为硬件基础,然后再通过逻辑设计、系统软件设计和系统硬件电路设计,实现了一款基于直接数字频率合成技术的低成本、便携式、可扩展的可立即使用的任意波形发生器。 关键词:数字频率合成器;verilog;FPGA;仿真

Abstract Arbitrary waveform generator (Arbitrary Waveform Generator,AWG) is a multi wave signal generator. It can not only generates a sawtooth wave, sine wave and so on conventional waveform and the diversification of the modulated carrier, so that the waveform occurrence amplitude modulation, phase modulation, frequency modulation and pulse modulation. Can even use computer software to realize the waveform of the editor, the user needs to generate arbitrary waveform. Arbitrary waveform generator is widely used in the field of automatic control, electronic circuit and scientific experiment. It is an electrical signal equipment which meets the technical requirements for electronic paper designs an arbitrary waveform generator, which is composed of 4 parts, input module, FPGA module, DAC module and display module. The design the virtual instrument technology, serial bus interface technology and direct digital frequency synthesis technology perfect combination together, convertible to field programmable gate array (FPGA) as the basis of hardware, and then through the logic design, system software design and the hardware circuit design, and the implementation of a arbitrary waveform generator based on direct digital frequency synthesis technology of low cost, portable, scalable and can be immediately used. Keywords: Digital frequency synthesizer; Verilog; FPGA;Simulation

任意波形信号发生器

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化的设计,对功能的修改和增加,只要修改VHDL源程序,而不必更改硬件电路。实现数字系统硬件的软件化。 任意信号发生器体现在它能选择输出四个波形,即正斜率斜波、正弦波、锯齿波、任意波形; 还可以改变波形的某些表征参量,从而控制输出的波形。其主要问题是波形的选择和准备与输出的模拟信号波形相对应的数字信号,前者可以通过外接开关从而选择输出什么样的波形,后者可以通

基于FPGA的多波形发生器的设计

目录 摘要 (1) 0 引言 (1) 1 系统总体设计 (2) 2 系统组成电路及其工作原理 (2) 2.1FPGA核心模块、按键输入及数码显示模块 (3) 2.1.1 FPGA核心模块的内部结构 (3) 2.1.2 FPGA核心模块的各组成单元 (3) 2.1.3 NiosⅡ软核处理器 (3) 2.1.4 分频器(FANA)模块 (4) 2.1.5 波形产生器(delta、square和sin)模块 (4) 2.1.6 波形选择器(CHANGE)模块 (5) 2.2外围电路设计 (5) 2.2.1 D/A转换器 (5) 2.2.2 低通滤波、幅度调节和峰值保持电路 (6) 2.2.3 A/D转换器 (7) 3 软件设计 (8) 3.1C语言程序设计 (8) 3.2VHDL、V ERILOG HDL语言程序设计 (8) 4 系统测试及结果分析 (9) 5 结束语 (10) 6 致谢 (10) 参考文献: (10) 附录: (11)

基于FPGA的多波形发生器的设计 作者:温聪指导老师:林汉副教授 湛江师范学院信息科学与技术学院 摘要:文章介绍了一种基于FPGA芯片的,利用VHDL或VerilogHDL语言及Nios II嵌入式处理器共同实现的多功能波形发生器。该波形发生器可以产生正弦波、方波和三角波,频率从1KHz到10KHz,步进为100Hz。该系统以Altera公司的CycloneII系列现场可编程门阵列(FPGA)为数字平台,在FPGA目标芯片EP2C35F672C6N上将频率选择信号进行译码,同时对系统时钟进行分频,得到相应的时钟触发信号,触发数字波形的离散样点依次输出到D/A转换器进行数模转换,得到模拟信号波形。本设计为生产低成本,高性能,操作简易的电子系统测试信号提供一种简单易行的方法。 关键词:FPGA;VHDL;Nios II;D/A Based on FPGA in the design of multi-waveform generator Wen Cong Information Science and Technology School Zhanjiang Normal University,zhanjiang524048,China Abstract: This paper presents a FPGA-based chips using VHDL or VerilogHDL the language and the Nios II embedded processor to achieve the multi-waveform generator. The waveform generator can produce sine, square and triangular waves, the frequency from 1KHz to 10KHz, stepping to 100Hz.The system CycloneII series of Altera's field programmable gate array (FPGA) for digital platforms, in the target FPGA core EP2C35F672C6N signals will be frequency-selective decoding, at the same time the system clock frequency divided by the corresponding clock signal to trigger, the discrete digital waveform trigger points followed by output to D / A converter for digital-to-analog conversion, the analog signal waveform. The design for the production is low-cost, high performance, which provides a simple and easy method to test the signal of an electronic system. Keywords: FPGA;EDA;VHDL;Nios II;D/A 0 引言 现有的波形信号发生器,大都是利用单片机(CPU)为核心设计的,这使得电路控制比较方便,电路简单化,小型化,但同时也存在着许多不足。例如系统的可靠性通常不高,其主要原因是,以软件运行为核心的CPU的指令地址指针在外部干扰下,容易发生不可预测的变化,而使运行陷入非法循环中,使系统瘫痪。 EDA技术的出现,使得完全利用硬件来实现波形信号发生成为可能[1]。例如状态机就是很好的选择,它的运行方式类似于CPU,但却有良好的可靠性和高速的性能[2]。因为在外部强干扰情况下,状态机

基于EDA技术的正弦波方波三角波锯齿波四种波形发生器完整版

基于E D A技术的正弦波方波三角波锯齿波四种波形发生器 集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

梧州学院课程论文 (2014 -2015学年第2学期) 课程论文题目:基于EDA技术的正弦波、方波、三角波、锯齿波、四种波形发生器 学生姓名: 目录

论文题目: 基于EDA技术的正弦波、方波、三角波、锯齿波、四种波形发生器 学生姓名: 摘要 随着EDA技术以及大规模集成电路技术的迅猛发展,波形发生器的各方面性能指标都达到了一个新的水平。采用CPLD/FPGA器件在QuartuesII设计环境中用Verilog?HDL语言完成的波形发生器具有频率稳定性高,可靠性高,输出波形稳定等特点。本文介绍了基于EDA技术的波形发生器的研究与设计。

本文采用Verilog?HDL语言,运用LPM-ROM制定的方法设计的波形发生器,经过按键来选择四种波形实现了正弦波,方波,三角波,以及锯齿波四种波形的输出,经过实际下载到FPGA实验板上,设计要求已经完全实现。 关键词:Verilog?HDL 波形发生器 LPM-ROM FPGA 一、系统设计目的与要求 、前言 随着现代化集成电路和计算机技术的不断飞跃发展,使得电子产品的设计在市场上的应用更为广泛,而且其实现方法的选择也变得越来越多。基于电路板的设计方法是传统电子产品通用的一中设计方案,这种方法是需要采用较多的固定功能器件,再通过这几器件的设计配合,从而实现模拟电子产品的功能,这些工作的重点就在于如何选择这些器件及怎样设计电路板。 由于可编程逻辑器件的出现和计算机性价比的提高,这影响了传统的数字电子系统的设计方法,对其进行了解放性的革命。现在要实现电子系统的功能是通过设计师自己设计的芯片来完成的,之后将传统的固件选用及电路板设计工作放在芯片设计中进行,这种方法是现代电子系统的设计方法。上个世纪九十年代以来,由于复杂化、数字化和大规模集成化的电子产品设计系统的日趋成熟,使得各种电子系统的设计软件也应运而生。 在这些专业化软件中,EDA (Electronic Design Automation)具有一定的代表性,EDA技术是一种基于芯片的现代电子系统设计方法。它的优势主要集中在能用HDL语言进行输入、进行PLD(可编程器件)的设计与仿真等系统设计自动化上;上个世纪九十年代,可编程器件又出现了模拟可编程器件,由于受技术、可操作性及性价比的影响,今后EDA技术会向模拟可编程器件的设计与仿真方向发展,并占据市场的一定份额。 EDA技术主要包括大规模可编程逻辑器件、硬件描述语言、开发软件工具及实验开发系统4个方面。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体硬件,描述语言是利用EDA技术进行电子系统设计的主要表达手段,开发软件工具是利用EDA技术进行电子系统

基于verilog hdl语言的多功能波形发生器设计

《基于Verilog HDL语言的多功能波形发生器设计》第1页共22页 基于Verilog HDL语言的多功能波形发生器设计 学生姓名:指导老师: 摘要:本文主要探索了应用EDA灵活可重复编程和方便在系统重构的特性,以Verilog HDL为设计语言,将硬件功能以软件设计来描述,提高了产品的集成度,缩短开发周期。所设计的波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号的转换并且频率可调;设计的频率计以1Hz为基准信号,测量的范围是1Hz—9999Hz,测量的结果以四位十进制的形式输出。能实现任意波形的输出并且能够测量外来信号的频率,这也是本文的设计思路。 关键词: DDS;;Verilog HDL;EDA;Max+PlusⅡ;波形发生器 Abstract:This article explores the application of EDA to facilitate flexible and reprogrammable and reconstruction in the system features to Verilog HDL design language, the hardware functions to software design to describe and improve product integration, shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable; designed to 1Hz frequency counter For the reference signal, measured in the range 1Hz-9999Hz, the measurement results in the form of four decimal output. which is the design idea of this article . Key words: DDS; Verilog HDL;EDA; Max+PlusⅡ; a rbitrary waveform generator

EDA技术与FPGA应用设计教学设计 (2)

EDA技术与FPGA应用设计教学设计 摘要 EDA技术在现代电子设计中发挥着至关重要的作用,而FPGA则是实现数字电路设计的重要工具之一。本文探讨了EDA技术和FPGA应用设计在电子信息专业教学 中的重要性,以及如何将这些知识传授给学生,以培养他们的实践能力和创新精神。 关键词 EDA,FPGA,电子信息专业,教学设计 前言 EDA技术的出现,极大地推动了电子设计行业的发展和创新。通过使用EDA工具,设计师可以更快速、更准确地进行电路设计、模拟和验证。而FPGA则是实现 数字电路设计的强大工具,具有灵活性高、可重构性和可编程性强、定制化程度高等优点。 因此,在电子信息专业的教学中,将EDA技术和FPGA应用设计的理论和实践 相结合,会对学生的电路设计和制造能力、解决问题的能力以及创新思维能力的培养起到重要的促进作用。 本文将讨论如何在电子信息专业教学中有效地教授EDA技术和FPGA应用设计,以培养学生的实践能力和创新精神。

一、EDA技术的教学设计 1.1 EDA技术的基础知识 EDA技术是电路设计和制造的重要工具。因此,在电子信息专业教学中,应该将EDA技术的基础知识作为必修课程的一部分,例如EDA工具的种类、原理、功能和使用方法。 1.2 EDA技术在电路设计中的应用 通过案例讲解,让学生掌握EDA技术在电路设计中的应用,包括电路设计、模拟、布局和验证。并通过实验,让学生熟悉EDA工具的使用和电路设计基础技能的掌握。 1.3 面向EDA技术的项目式教学 通过课程实践,将EDA技术应用于面向实际项目的电路设计中,让学生在实用性和创新性上得到较好的锻炼。 二、FPGA应用设计教学设计 2.1 FPGA技术的基础知识 在电子信息专业教学中,FPGA技术也应该是必修课程的一部分。课程将介绍FPGA器件的种类、原理和特点,FPGA设计流程和设计方法,以及器件和工具的应用。 2.2 FPGA技术在数字电路设计中的应用 通过案例分析和实验室实践,让学生深入了解FPGA技术在数字电路设计中的应用。例如,基本芯片设计、时序逻辑、数字信号处理等。

基于FPGA和LabVIEW任意波形发生器设计

1 绪论 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 1.1 波形发生器的发展及现状 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

基于FPGA的多功能波形发生器设计课程设计

课程设计 题目多功能波形发生器的设计 学院信息工程学院 专业 班级 姓名 指导教师

摘要 (3) Abstract (4) 多功能波形发生器的设计 (4) 1.课题简介 (6) 1.1 课设目的 (6) 1.2课设要求 (6) 2设计方案 (8) 2.1方案选择 (8) 2.2 设计原理 (8) 2.3设计流程 (9) 3仿真结果 (15) 3.1编译警告 (15) 3.2编译结果 (16) 3.3建立仿真文件 (16) 3.4仿真结果 (18) 3.5 RTL视图 (19) 4程序分析 (21) 4.1VHDL语言分析 (21) 4.2主要函数语句分析 (21) 5小结 (23) 6参考文献 (24) 7附录源程序代码 (25)

摘要 多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数信号发生器的研究背景和DDS的理论。然后详尽地叙述了利用VHDL语言描述DDS模块的设计过程,以及设计过程中应注意的问题。文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分VHDL代码以及利用Quartus仿真的结果。 文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器的大部分功能。包括由LCD显示和按键输入构成的人机界面和多种信号的发生。数字模拟转换器是BURR-BROWN公司生产的DAC902。 该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。 关键词:VHDL D/A接口

基于FPGA的波形产生器

基于 FPGA 的 DDS 波形发生器设计 本课程设计的题目是:基于FPGA的波形产生器。 设计内容是以Xilinx Spartan 3E实验板为控制核心,用直接数字频率合成技术(DDS),经板上DA转换输出模拟信号,实现频率和输出电压峰-峰值都可调。本设计要求完成的基本功能是: 1)具有产生正弦波、方波、三角波三种周期性波形的功能。 2)输出波形的频率范围为100Hz-20kHz(非正弦波频率按10次谐 波计算)。重复频率可调,频率步进间隔≤100Hz。 3)输出波形幅度范围0-3V(峰峰值),可按步进0.1V(峰峰值) 调整。 4)由外界按键输入选择产生波形的种类、频率与幅度。 5)界面显示输出波形的类型、重复频率(周期)和幅度等信号参数。 课程设计目的 通过本课程设计加深对“基于Vrilog HDL的FPGA设计基础”理论学习的理解;熟悉相关EDA工具的使用;掌握FPGA数字电路设计流程;掌握PS2键盘工 作原理;掌握液晶显示工作原理;掌握RS232串口通信工作原理。 【概述】将现场可编程逻辑器件 FPGA 和 DDS 技术相结合,具体的体现了基于VHDL 语言的灵活设计和修改方式是对传统频率合成实现方法的一次重要改进。FPGA器件作为系统控制的核心,其灵活的现场可更改性,可再配置能力,对系统 的各种改进非常方便,在不更改硬件设计部分电路的基础上还可以进一步提高系统 的性能。文章给出仿真结果,经过验证本设计能够达到其预期性能指标。 【系统设计部分】 ·1 整个设计组织结构 DDS基本原理 DDS建立在采样定理基础上,首先对需要产生的波形进行采样,将采样值数字化 后存入存储器作为查找表,然后通过查表读取数据,再经D/A转换器转换为模拟量,将保存的波形重新合成出来。DDS基本原理框图如图1所示。

EDA技术与FPGA应用设计教学设计

EDA技术与FPGA应用设计教学设计 引言 EDA技术和FPGA应用设计在现代数字电路设计中发挥着重要的作用。EDA (Electronic Design Automation)技术是一种通过使用计算机软件来设计电子系统的方法。而FPGA(Field Programmable Gate Array)则是一种可编程的逻辑芯片,可以根据不同应用需求进行定制化设计。在现代数字电路和系统的设计中,EDA技术和FPGA应用设计已经成为不可或缺的一部分。因此,本文将探讨如何在教学设计中融入EDA技术和FPGA应用设计。 EDA技术在数字电路教学中的应用 在数字电路的教学过程中,EDA技术已经成为了一种必备的技能。EDA技术可以辅助学生完成数字电路的设计、仿真、布局和验证等重要环节。在EDA技术的帮助下,学生可以更加直观地理解数字电路的设计过程及其结构。一些EDA工具例如Xilinx、Altera等都提供了设计工具和仿真工具,让学生在数字电路的实践中感受到实验平台的便利。 不仅如此,EDA技术还可以实现数字电路设计的自动化,减少了设计者的工作量,提高了数字电路设计的效率和质量。其中EDA技术中的图形化编程语言VHDL 和Verilog已经成为了数字电路设计的主流语言。因此,数字电路教学需要在课程中全面覆盖EDA技术的相关知识和应用。 FPGA设计在数字电路教学中的应用 在数字电路的教学过程中,FPGA设计也已成为了一种必备的技能。FPGA在数字电路分析、协议分析、测试测量等方面发挥了重要的作用。通过FPGA的应用设计,学生可以获得更加全面的数字电路设计经验和实际应用能力。在FPGA应用设

基于单片机、eda技术的波形发生器的设计

[标签:标题] 篇一:基于单片机、EDA技术的波形发生器的设计 基于单片机、EDA技术的波形发生器的设计 发布日期:2006-06-01 作者:王宝库来源:微计算机信息 摘要:本设计是基于单片机和EDA相结合的技术,用于产生 各种频率的正弦波、方波和三角波,其幅值0~5V可调,幅 值步进为0.039V,频率步进为1Hz。 该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输 入模块、数码管显示模块、D/A波形发生模块、幅值调整模块 组成。采用DDFS技术,先将要求的波形数据存储于EEPROM 中,这样可以保证掉电以后波形数据不丢失。 为了达到所要求的高速度,采用FPGA(ALTEAR 公司 的EPF10K10LC84-4, 晶振频率可达40MHz)来实现波形的发 生,通过DDFS技术(直接数字频率合成技术)、VHDL语 言和单片机汇编语言编程技术的完美结合实现了对正弦波、方波和三角波三种波形的频率、幅值的设置和发生。 关键词:单片机技术EDA技术DDFS技术波形发生FPGA VHDL语言汇编语言 随着深亚微米半导体技术的进步,可编程逻辑器件及EDA技术的应用已经相当普及。基于可编程逻辑器件的高频性质和单片机强大的数据处理功能,二者结合的技术也有相当的影响力。本设计中涉及到单片机汇编语言、VHDL语言的运用,充分地利用了二者的优点,制作了一套波形发生系统。 1 系统设计 整体设计由三大模块组成:单片机控制部分、可编程逻辑器件(FPGA)部分、模拟电路部分。其系统方框原理图如下所示: 波形发生采用DDS技术,可以很方便地产生各种高质量的波形,DDS的基本原理是:先将要产生的波形数据存入波形发生器,然后通过改变参考脉冲的频率,将波形数据传送给D /A转换器,滤波处理后便可以输出光滑的合成波形。为了提高所产生的波形频率,采用高频率特性的FPGA(ALTERA公司的EPF10K10LC84-4),后级电路采用有较高的转换速度的DAC0832作为D/A转换。 1.1 单片机部分 在设计中,采用MCS8031为处理器,P2.7作为8279的片选端,P2.6作为FPGA的片选端,P2.5为DAC0832(a)的片选端。P0口作为三者的低8位地址和数据线。单片机控制DAC0832(a),使其输出为0~5V可变电压,作为DAC0832(b)的参考电压,于是可控制输出波形的幅值。由于采用7位数据控制,精度可达到0.039V。 1.2 FPGA模块 设计中我使用了ALTRA公司的MAXPLUSⅡ10.0系统,采用原理图和VHDL语言编程相结合的形式,充分地发挥了FPGA的高速和现场可编程的能力。 在FPGA中通过编程片内实现比例乘法器(cc14527),在单片机的控制下,FPGA接收单片机传送过来的用户要求的波形数据及其频率数据,并送到比例乘法器以产生所需要的输出频率值。顶层图如下: 其中: P20作为FPGA的片选端,低电平有效,高电平时保持现有状态,与单片机的P2.6相连。

eda-信号发生器三角波正弦波方波

1 引言 简易多功能信号发生器是信号发生器的一种,在生产实践和科研领域中有着广泛的应用。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波和方波等,因而广泛用于通信、雷达、导航、宇航等领域。 在本设计中它能够产生多种波形,如正弦波,三角波和方波等,并能实现对各种波频率和幅度的改变.正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现. 本设计是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波.且对各种波形的要求如下: (1)设计任意信号发生器,使之能够生成正弦波、三角波和方波; (2)电路的外部频率为40MHz,要求信号发生器可产生0—1KHz、1KHz~10KHz、10KHz~1MHz 三档频率的信号; (3)要求具有波形选择和频率选择的功能; (4)在同一频率档内,可实现频率的加减; (5)要求显示波形的同时能够进行频率的调节; (6)要求能够显示波形:A--正弦波;B—-三角波;C——方波; (7)要求能够显示频率值; (8)可用示波器进行波形的观测.

2 设计流程 2。1设计思想及流程图 本次课程设计按模块式实现,据任务书要求,设计总共分三大步骤完成: (1)产生波形(三种波形:方波、三角波、正弦波)信号; (2)频率控制; (3)显示频率值。 利用VHDL编程,依据基本数字电路模块原理进行整合。系统各部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满足输入脉冲信号的要求。频率控制模块有多个可选频率,最终送至脉冲发生模块输出脉冲信号,同时将信号的频率输出至数码管显示当前信号的频率值,达到设计课题所要求的输出波形频率可调功能。如图2—1所示:

基于FPGA的方波信号发生器

EDA课程设计实验报告 基于FPGA的方波信号发生器 设计内容:基于FPGA的方波信号发生器 代课老师: 学号: 姓名: 专业:电子与通信工程 摘要:本设计是采用了EDA技术设计的方波信号发生器。实现是基于FPGA语言描述正弦波基波和多次谐波叠加模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由正弦波产生模块、数码管显示模块、波形频率控制和波形幅度控制四个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以通过多次谐波叠加形成方波,并通过频率控制和幅度控制改变方波波形。 关键字:VHDL;QuartusⅡ;Cyclone;函数信号发生器 1、Quartus II软件简介 1.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。

Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。 1.2 Quartus II软件设计流程 (1) 打开Quartus II软件。 (2) 选择路径。注意:工作目录名不能有中文。 (3) 添加设计文件。 (4) 选择FPGA器件。Family选择Cyclone,240,8。 (5) 建立原理图或用VHDL语言描述设计电路。 (6) 对原理图或用VHDL语言进行编译,无误后进行添加信号。 (7) 对上述电路进行仿真。 (8) 进行管脚分配。 (9) 全局编译,采用JTAG或者AS模式进行下载测试. 2、系统设计方案

EDA课程设计报告

目录 目录 1.1EDA简介 (2) 1.1.1EDA的发展史 (2) 1.1.2EDA的应用 (3) 1.1.3EDA技术的设计方法 (3) 1.2数字系统设计 (4) 1.2.1数字系统设计模型 (4) 1.2.2 数字系统的设计步骤 (5) 2.1任意波形产生器的系统构成 (7) 2.1.1直接模拟法 (7) 2.1.2直接数字法 (7) 2.1.3基于地址计数器的直接数字和成法 (8) 2.1.4基于相位累加器的直接数字合成法 (8) 2.2任意波形产生器的作用 (10) 2.3任意波形产生器的应用场合 (10) 3.1设计要求 (12) 3.2设计思路 (12) 3.3设计流程 (13) 3.4设计步骤及程序 (13) 3.5硬件实现及调试结果 (21) 3.5.1硬件实现 (21) 电路模块组成部分: (21) 3.5.2调试结果 (22) 参考文献 (30)

第一章绪论 1.1EDA简介 1.1.1EDA的发展史 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 自20世纪80年代初期诞生至今,自动化软件(组态软件)已有20年的发展历史。应该说组态软件作为一种应用软件,是随着PC机的兴起而不断发展的。80年代的组态软件,像Onspec、Paragon 500、早期的FIX等都运行在DOS环境下,图形界面的功能不是很强,软件中包含着大量的控制算法,这是因为DOS 具有很好的实时性。90年代,随着微软的Windows 3.0风靡全球,以Wonderware 公司的Intouch为代表的人机界面软件开创了Windows下运行工控软件的先河,由于Windows 3.0不具备实时性,所以当时,80年代已成名的自动化软件公司在对于操作系统的支持上,或按兵不动,或将组态软件从DOS向OS/2移植,人们这样做的原因,是大家都认为工控软件必须具有很强的实时性和控制能力,必须运行在一个具备实时性的操作系统下,像DOS、OS/2、Win NT(1993年才推出)等。历史证明,在当时的硬件条件下,上位机做人机界面切中了用户的需求,Wonderware因而在不长的时间内成为全球最大的独立自动化软件厂商,而在80年代靠DOS版组态软件起家,后来向OS/2移植的公司后来基本上都没落了。 首先,自动化软件主要包括人机界面软件(HMI),像Intouch、iFix、组态王等;基于PC的控制软件,统称软PLC或软逻辑,像亚控的KingAct以及即将推出的组态王嵌入版、西门子的WinAC等;还包括生产执行管理软件,许多专家也将这一类软件归为MES(Manufacturing Execution System),像Intellution 公司的iBatch、Wonderware公司的InTrack等,另外,与通用办公自动化软件

相关文档
最新文档