闹钟设计实验报告

闹钟设计实验报告
闹钟设计实验报告

闹钟设计实验报告

院系: 计算机与通信学院

专业: 计算机科学与技术

班级: 01154 班

姓名: 伍晨曦(13号)

指导老师: 杨华

一.实验目的:

1.学会VHDL语言的并发执行的特点;

2.熟悉VHDL的一些语法;

3.初步了解VHDL的编程思路;

二.内容实验:

一个电子钟.能用数码管显示时间.可以更改时间.可以闹铃.. 具有电子钟得功能.即可以正确的显示时间,可以更改时间.可以在规定的时间内闹铃,闹铃的时间为1分钟.闹铃的时间可调.

三.实验原理

根据VHDL语言编制底层模块,采用基本的图像法来完成顶层的布线,利用VHDL语言编制模块可以省去很多复杂的连线及列写复杂的逻辑函数关系。

其中的时间模块用计数器来模拟.一个24位计数器来模拟小时,两个60位计数器来模拟分钟和秒.其中闹铃里要加一个寄存器来存贮闹铃的设定.显示模块用数码管来显示.

按照本课程设计要求及提供的数字逻辑系统EDA实验设备,思路如下:设计好小时、分钟、秒钟、按键、寄存器、扫描、闹铃、七段码、二选一、顶层电路的设计。这些模块采用VHDL语言设计,然后生成模块存放在库中供以后调用。采用图形法来设计顶层模块并编译、仿真并下载,生成大模块已完成课程要求。

四:源程序的实现

1.小时的模块:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hour is

port(

clk :in std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end hour;

architecture structure of hour is

signal h2_temp :std_logic_vector(3 downto 0);

signal h1_temp :std_logic_vector(3 downto 0);

begin

process(clk)

begin

if(clk'event and clk='1') then

if(h2_temp="0010" and h1_temp="0011")then

h2_temp<="0000";

h1_temp<="0000";

elsif(h1_temp="1001")then

h1_temp<="0000";

h2_temp<=h2_temp+1;

else

h1_temp<=h1_temp+1;

end if;

end if;

ho2<=h2_temp;

ho1<=h1_temp;

end process;

end structure;

波形图

2.分钟的模块:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity minute is

port(

clk :in std_logic;

cn :out std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end minute;

architecture structure of minute is

signal h2_temp :std_logic_vector(3 downto 0); signal h1_temp :std_logic_vector(3 downto 0); begin

process(clk)

begin

if(clk'event and clk='1') then

if(h2_temp="0101" and h1_temp="1001")then

h2_temp<="0000";

h1_temp<="0000";

cn<='1';

elsif(h1_temp="1001")then

h1_temp<="0000";

h2_temp<=h2_temp+1;

else

h1_temp<=h1_temp+1;

cn<='0';

end if;

end if;

ho2<=h2_temp;

ho1<=h1_temp;

end process;

end structure;

波形图

3.秒钟的模块:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity second is

port(

clk :in std_logic;

cn :out std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end second;

architecture structure of second is

signal h2_temp :std_logic_vector(3 downto 0);

signal h1_temp :std_logic_vector(3 downto 0);

begin

process(clk)

begin

if(clk'event and clk='1') then

if(h2_temp="0101" and h1_temp="1001")then

h2_temp<="0000";

h1_temp<="0000";

cn<='1';

elsif(h1_temp="1001")then

h1_temp<="0000";

h2_temp<=h2_temp+1;

else

h1_temp<=h1_temp+1;

cn<='0';

end if;

end if;

ho2<=h2_temp;

ho1<=h1_temp;

end process;

end structure;

波形图和分钟的一样.

4.按键设计的模块:

library ieee;

use ieee.std_logic_1164.all;

entity set is

port(

clk :in std_logic;

hour,minute,second,bar:in std_logic;

c1,c2 :in std_logic;

sett :in std_logic;

setring,setb :out std_logic;

seth1,setm1,sets1 :out std_logic;

seth,setm,sets :out std_logic

);

end set;

architecture structure of set is

begin

process

begin

if(sett='0')then

seth1<=c2;

setm1<=c1;

sets1<=clk;

seth<=bar and clk and hour;

setm<=bar and clk and minute;

sets<=bar and clk and second;

setring<=bar and clk;

setb<=bar;

else

sets1<=second and clk;

seth1<=hour and clk;

setm1<=minute and clk;

end if;

end process;

end structure;

5.寄存器模块:

library ieee;

use ieee.std_logic_1164.all;

entity regist is

port(

hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);

set

:in std_logic;

outh1,outh2,outm1,outm2,outs1,outs2 :out std_logic_vector(3 downto 0) --output :out std_logic);

end regist;

architecture structure of regist is

begin

process(set)

begin

if(set'event and set='1')then

outh1<=hour1;

outh2<=hour2;

outm1<=minute1;

outm2<=minute2;

outs1<=second1;

outs2<=second2;

end if;

end process;

end structure;

波形图:

6.闹铃模块:

library ieee;

use ieee.std_logic_1164.all;

entity ring is

port(

hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);

set :in std_logic;

h1,h2,m1,m2,s1,s2 :in std_logic_vector(3 downto 0);

output :out std_logic;

oh1,oh2,om1,om2,os1,os2 :out std_logic_vector(3 downto 0)

);

end ring;

architecture structure of ring is

begin

process

begin

oh1<=hour1;

oh2<=hour2;

om1<=minute1;

om2<=minute2;

os1<=second1;

os2<=second2;

if(set='1')then

output<='0';

elsif(hour1=h1 and hour2=h2 and minute1=m1 and minute2=m2)then

output<='1';

else

output<='0';

end if;

end process;

end structure;

波形图:

7.扫描电路:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity m1 is

port(

clk :in std_logic;

hour1,hour2,minute1,minute2,second1,second2:in std_logic_vector(3 downto 0);

q :out std_logic_vector(5 downto 0);

output :out std_logic_vector(3 downto 0)

);

end m1;

architecture structure of m1 is

type state is(s0,s1,s2,s3,s4,s5);

signal presentstate :state;

signal nextstate :state;

begin

switchtonextstate :process(clk)

begin

if clk'event and clk='1' then

presentstate<=nextstate;

end if;

end process switchtonextstate;

changestate :process(presentstate)

begin

case presentstate is

when s0=>

nextstate<=s1;

q<="000001";

output<=hour2;

when s1=>

nextstate<=s2;

q<="000010";

output<=hour1;

when s2=>

nextstate<=s3;

q<="000100";

output<=minute2;

when s3=>

nextstate<=s4;

q<="001000";

output<=minute1;

when s4=>

nextstate<=s5;

q<="010000";

output<=second2;

when s5=>

nextstate<=s0;

q<="100000";

output<=second1;

when others=>

nextstate<=s0;

q<="100000";

output<=second1;

end case;

end process;

end structure;

波形图:

8.二选一电路:

library ieee;

use ieee.std_logic_1164.all;

entity twotoone is

port(

a,b :in std_logic_vector(3 downto 0);

e,f :in std_logic_vector(5 downto 0);

set :in std_logic;

m :out std_logic_vector(5 downto 0);

c :out std_logic_vector(3 downto 0)

);

end twotoone;

architecture structure of twotoone is

begin

process

begin

if(set='1')then

c<=a;

m<=e;

else

c<=b;

m<=f;

end if;

end process;

end structure;

波形图:

9.七段码:

library ieee;

use ieee.std_logic_1164.all;

entity seg_7 is

port(

input :in std_logic_vector(3 downto 0);

segment :out std_logic_vector(6 downto 0)

);

end seg_7;

architecture structure of seg_7 is

begin

process(input)

begin

case input is

when "0000" => segment <= "0111111";

when "0001" => segment <= "0000110";

when "0010" => segment <= "1011011";

when "0011" => segment <= "1001111";

when "0100" => segment <= "1100110";

when "0101" => segment <= "1101101";

when "0110" => segment <= "1111101";

when "0111" => segment <= "0100111";

when "1000" => segment <= "1111111";

when "1001" => segment <= "1101111";

when others => segment <= "0000000";

end case;

end process;

end structure;

10.顶层模块:

library ieee;

use ieee.std_logic_1164.all;

entity clock is

port(

clk :in std_logic;

hour1,minute1,second1 :in std_logic;

bar,cp,sett :in std_logic;

ring1 :out std_logic;

e :out std_logic_vector(5 downto 0);

segment :out std_logic_vector(6 downto 0)

);

end clock;

architecture structure of clock is

component set

port(

clk :in std_logic;

hour,minute,second,bar:in std_logic;

c1,c2 :in std_logic;

sett :in std_logic;

setring,setb :out std_logic;

seth1,setm1,sets1 :out std_logic;

seth,setm,sets :out std_logic

);

end component;

component hour

port(

clk :in std_logic;

ho2 :out std_logic_vector(3 downto 0);

ho1 :out std_logic_vector(3 downto 0)

);

end component;

component minute

port(

clk :in std_logic;

cn :out std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end component;

component second

port(

clk :in std_logic;

cn :out std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end component;

component regist

port(

hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);

set :in std_logic;

outh1,outh2,outm1,outm2,outs1,outs2 :out std_logic_vector(3 downto 0)

);

end component;

component ring

port(

hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);

set :in std_logic;

h1,h2,m1,m2,s1,s2 :in std_logic_vector(3 downto 0);

output :out std_logic;

oh1,oh2,om1,om2,os1,os2 :out std_logic_vector(3 downto 0)

);

end component;

component m1

port(

clk :in std_logic;

hour1,hour2,minute1,minute2,second1,second2:in std_logic_vector(3 downto 0);

q :out std_logic_vector(5 downto 0);

output :out std_logic_vector(3 downto 0)

);

end component;

component twotoone

port(

a,b :in std_logic_vector(3 downto 0);

e,f :in std_logic_vector(5 downto 0);

set :in std_logic;

m :out std_logic_vector(5 downto 0);

c :out std_logic_vector(3 downto 0)

);

end component;

component seg_7

port(

input :in std_logic_vector(3 downto 0);

segment :out std_logic_vector(6 downto 0)

);

end component;

signal w1,w2,cn2,cn1,cn0 :std_logic;

signal h2,h1,a2,a1,s2,s1 :std_logic_vector(3 downto 0);

signal h20,h10,m20,m10,s20,s10 :std_logic_vector(3 downto 0);

signal h21,h11,m21,m11,s21,s11 :std_logic_vector(3 downto 0);

signal h22,h12,m22,m12,s22,s12 :std_logic_vector(3 downto 0);

signal q0,q1 :std_logic_vector(5 downto 0);

signal p0,p1 :std_logic_vector(3 downto 0);

signal c :std_logic_vector(3 downto 0);

signal c1,c2 :std_logic;

signal sh,sm,ss :std_logic;

signal cnm,cns :std_logic;

begin

u0: set port map(clk,hour1,minute1,second1,bar,c1,c2,sett,w1,w2,cn2,cn1,cn0,sh,sm,ss);

u1: hour port map(sh,h20,h10);

u2: hour port map(cn2,h2,h1);

u3: minute port map(cn1,c2,a2,a1);

u4: minute port map(sm,cnm,m20,m10);

u5: second port map(cn0,c1,s2,s1);

u6: second port map(ss,cns,s20,s10);

u7: regist port map(h10,h20,m10,m20,s10,s20,w1,h11,h21,m11,m21,s11,s21);

u8: ring port map(h11,h21,m11,m21,s11,s21,w2,h1,h2,a1,a2,s1,s2,ring1,h12,h22,m12,m22,s12,s22);

u9: m1 port map(cp,h12,h22,m12,m22,s12,s22,q0,p0);

u10: m1 port map(cp,h1,h2,a1,a2,s1,s2,q1,p1);

u11: twotoone port map(p0,p1,q0,q1,w2,e,c);

u12: seg_7 port map(c,segment);

end structure;

顶层电路图:

五.心得体会:

1.进一步熟悉了VHDL的编程思想.

2.做实验细心,发挥想象,充分运用所学知识。

3.通过本次实验,更加熟悉了数字逻辑课程,通过对程序的编译过程,使自己能够发现问题并改正错误,并为以后的接口、模拟电路等后续课程的学习打下了坚实的基础。在本次实验中也还存在一些不足的地方,比如没有将个模块串联在一起等,在以后的学习生活中,我会加强实验操作这个环节

机械设计综合实验指导书与实验报告

机械设计综合实验指导书 及实验报告 班级 学号 姓名 机械基础实验中心雷代明 2017年3月 第一部分机械设计

实验一机械零件认知与分析实验 一、实验目的 1、熟悉常用的机械零件的基本结构,以便对所学理论知识产生一定的感性认识。 2、分析常用机械零件的基本构造及制造原理。 3、了解常用机械零件的实际使用情况。 二、实验内容 通过观察,掌握常用的机械零件的基本结构及应用场合。 三、实验简介 机械零件陈列观摩,共包括: (1)螺纹联接与应用 (2)键、花键、销、铆、焊、铰接 (3)带传动 (4)链传动 (5)齿轮传动 (6)蜗杆传动 (7)滑动轴承与润滑密封 (8)滚动轴承与装置设计 (9)轴的分析与设计 (10)联轴器与离合器。 共10个陈列柜,罗列了机械设计内容中大多数常用的基本零件与标准件,并对相应的零件进行了结构和基本受力分析,联接和安装的基本方法的说明,有些常用的零件还给出了简单的应用举例。 通过本实验的观摩,学生可以对照书本所学的基本内容,初步领会机械设计的一些常用零部件的基本设计与应用原理,从而达到举一反三的教学目的,对其所学的课本理论知识进一步巩固和深化。 四、实验要求 1、学生必须带上课本,以便于与书本内容进行对照观察。 2、进入实验室必须保持安静,不得大声喧哗,以免影响其他同学。 3、不得私自打开陈列柜,不得用手触摸各种机械零件模型。 4、服从实验人员的安排,认真领会机械零件的构造原理。 五、思考题 1、常用螺纹联接的方法有哪些? 2、说明无键联结的优缺点. 3、在带传动中,带张紧的方法有哪些?

4、轴上零件轴向常用的定位方法有哪些?举例说明。 第二章滑动轴承实验 实验二滑动轴承基本性能实验 一、概述 滑动轴承用于支承转动零件,是一种在机械中被广泛应用的重要零部件。根据轴承的工作原理,滑动轴承属于滑动摩擦类型。滑动轴承中的润滑油若能形成一定的油膜厚度而将作相对转动的轴承与轴颈表面分开,则运动副表面就不发生接触,从而降低摩擦、减少磨损,延长轴承的使用寿命。 根据流体润滑形成原理的不同,润滑油膜分为流体静压润滑(外部供压式)及流体动压润滑(内部自生式),本章讨论流体动压轴承实验。 流体动压润滑轴承其工作原理是通过轴颈旋转,借助流体粘性将润滑油带入轴颈与轴瓦配合表面的收敛楔形间隙内,由于润滑油由大端入口至小端出口的流动过程中必须满足流体流动连续性条件,从而润滑油在间隙内就自然形成周向油膜压力(见图2-1),在油膜压力作用下,轴颈由图2-1(a)所示的位置被推向图2-1(b)所示的位置。 当动压油膜的压力p在载荷F方向分力的合力与载荷F平衡时,轴颈中心处于某一相应稳定的平衡位置O1,O1位置的坐标为O1(e,φ)。其中e=OO1,称为偏心距;φ为偏位角(轴承中心0与轴颈中心0l连线与外载荷F作用线间的夹角)。 随着轴承载荷、转速、润滑油种类等参数的变化以及轴承几何参数(如宽径比、相对间隙)的不同,轴颈中心的位置也随之发生变化。对处于工况参数随时间变化下工作的非

电子时钟课程设计.

单片机实训课题电子时钟 班级11电气本1班学号4110211140 姓名陈后亥 指导教师叶文通 日期2013.12.30~2014.1.3

摘要 随着时代的进步,越来越多的电子厂品趋向于低成本,高性能,耐用性好的方向发展。特别是趋向于自动化控制的方向走。89c51作为控制芯片是最好不过的选择啦。它具有强大的功能,并且简单易于操作,安全性与稳定性较高,价格便宜,适合中小型电子厂品开发中的控制器。就像我们的课程设计,基于89c51单片机的电子时钟的课程设计。 这款课程设计用到的主要材料有89c51单片机,1602液晶显示屏,矩阵键盘,以及一些电容电阻元件等等。 使用89c51作为电子时钟的控制器很简单,就是由于其经济型与稳定性和易操作性。显示电路上,选择使用1602液晶显示屏上。1602不仅操作上臂数码管简单许多,而且使用1602能在很大程度上是电路图尽量简化,便于操作与错误的检修。并且1602价格也比较便宜。 基于89c51电子时钟的设计,利用了单片机内部的一个自带定时/计数器来实现定时功能,并通过内部程序,实现对时分秒,年月日这几个输出数值的自增,并且通过编写程序,实现通过键盘控制时分秒,年月日大小的调整,这是必要的功能。最后通过1602液晶显示电路将时间显示在其上。 这样的电子时钟比较精准,其主要误差来源与晶振的误差,即使是这样,他的误差也只是微妙级别,对于日常生活中的时间计数是足够的。 关键词:89c51单片机;1602液晶显示屏;矩阵键盘;keil软件

目录摘要 1单片机简介 1.1 单片机概述 1.2 单片机基本结构 21602液晶显示屏简介 1.11602显示原理 1.21602指令集合 3 电子时钟硬件设计 3.1 功能框图 3.2 单片机复位与晶振电路 3.3 1602显示电路 3.4 总体电路设计 4 电子时钟软件设计 4.1 程序流程框图 4.2 程序源代码 参考文献 致谢

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

字体与版式设计实训指导手册

一、实验介绍 实训项目一姓名字体设计 二、实验目的; 运用所学的字体与版式设计的思维与方法,按照字体与版式设计的要求,设计属于自己姓名的字体设计,培养自己对字体与版式设计的理解与认识,锻炼字体设计的各方面能力。 三、实验环境; 机房电脑操作,PS AI软件 四、实验任务; 做自己的姓名设计,通过名字的创意设计来展示自己的内在个性,充分认识字体创意的重要性。 五、实验原理及步骤; 创意构思——选择适合的字体表现形式——草稿绘制——设计制作——评析 六、实验报告内容要求 1)简要的实验操作步骤 2)实验完成情况说明; 3)实验过程中存在的问题; 4)实验心得。

一、实验介绍 实训项目二实题项目的字体与版式设计 二、实验目的; 熟练掌握书记封面设计的版式设计形式和特征,能独立完成设计作品。 三、实验环境; 机房电脑操作,PS AI软件 四、实验任务; 书记封面设计一副 1.根据实题项目独立完成设计作品 2.版式设计要有创意,体现个性风格 3.注重形式美感,体现版式设计的完整性 4.表现技法不限,要求制作工艺精湛 五、实验原理及步骤; 选定主题——创意构思——选择适合的字体表现形式——草稿绘制——设计制作——评析 六、实验报告内容要求 1)简要的实验操作步骤 2)实验完成情况说明; 3)实验过程中存在的问题; 4)实验心得。

一、实验介绍 实训项目三 二、实验目的; 熟练掌握书记封面设计的版式设计形式和特征,能独立完成设计作品。 三、实验环境; 机房电脑操作,PS AI软件 四、实验任务; 书记封面设计一副 5.根据实题项目独立完成设计作品 6.版式设计要有创意,体现个性风格 7.注重形式美感,体现版式设计的完整性 8.表现技法不限,要求制作工艺精湛 五、实验原理及步骤; 选定主题——创意构思——选择适合的字体表现形式——草稿绘制——设计制作——评析 六、实验报告内容要求 1)简要的实验操作步骤 2)实验完成情况说明; 3)实验过程中存在的问题; 4)实验心得。

微机综合设计实验报告

微机接口实验报告 学院:计算机与通信工程学院专业:计算机科学与技术 班级: 学号: 姓名: 综合设计实验

带分频的AD转换 实现功能: 利用8254实现分频功能,再利用AD0809实现数模转换功能。 设计思路: 首先利用8254芯片的计时功能,将CLK0端输入的1MHz的脉冲信号分频为0.1MHz的脉冲,并且从OUT0端输出,然后将输出的脉冲信号作为AD0809数模转换单元的输入信号,从而实现8254的分频功能和AD0809的数模转换功能。 设计接线图: 实验代码: (加粗为分频部分代码,未加粗为AD转换部分代码) IO8254_MODE EQU 283H ;8254控制寄存器端口地址 IO8254_COUNT0 EQU 280H ;8254计数器0端口地址 IO0809 EQU 298H ;AD0809DE STACK1 SEGMENT STACK DW 256 DUP(?) STACK1 ENDS CODE SEGMENT ASSUME CS:CODE START: MOV DX, IO8254_MODE ;初始化8254工作方式 MOV AL,37H ;计数器0,方式3 00110111 OUT DX,AL MOV DX,IO8254_COUNT0 ;装入计数初值 MOV AX,000AH ;10D=0AH(可以自己设计分频倍数或者利用多个计数器实现更大倍数的分频) MOV AL,03H

OUT DX,AL MOV AL,AH OUT DX,AL MOV DX, IO0809 ;启动A/D转换器 OUT DX, AL MOV CX, 0FFH ;延时 DELAY: LOOP DELAY IN AL, DX ;从A/D转换器输入数据 MOV BL,AL ;将AL保存到BL MOV CL, 4 SHR AL, CL ;将AL右移四位 CALL DISP ;调显示子程序显示其高四位 MOV AL, BL AND AL, 0FH CALL DISP ;调显示子程序显示其低四位 MOV AH, 02 MOV DL, 20H ;加回车符 INT 21H MOV DL, 20H INT 21H PUSH DX MOV DL, 0FFH ;判断是否有键按下 MOV AH, 06H INT 21H POP DX JE START ;若没有转START MOV AH, 4CH ;退出 INT 21H DISP PROC NEAR ;显示子程序 MOV DL, AL CMP DL, 9 ;比较DL是否>9 JLE DDD ;若不大于则为'0'-'9',加30h为其ASCII码 ADD DL, 7 ;否则为'A'-'F',再加7 DDD: ADD DL,30H ;显示 MOV AH, 02 INT 21H RET DISP ENDP CODE ENDS END START

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

基于单片机的电子时钟课程设计报告

目录 一、引言········ 二、设计课题········· 三、系统总体方案········· 四、系统硬件设计······ 1.硬件电路原理图 2.元件清单 五、系统软件设计········· 1.软件流程图 2.程序清单 六、系统实物图········ 七、课程设计体会········ 八、参考文献及网站········· 九、附录·········

一.引言 单片机因将其主要组成部分集成在一个芯片上而得名,就是把中央处理器、随机存储器、只读存储器、中断系统、定时器/计数器以及I/O接口电路等部件集成在一个芯片上。 基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。 数字钟是采用数字电路实现对日期、时、分、秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 本设计使用12MHZ晶振与单片机AT89C51相连接,以AT89C51芯片为核心,采用动态扫描方式显示,通过使用该单片机,加之在显示电路部分使用HD74LS373驱动电路,实现在8个LED数码管上显示时间,通过4个按键进行调时、复位等功能,在实现各功能时数码管进行相应显示。软件部分用C语言实现,分为显示、延迟、调时、复位等部分。通过软硬件结合达到最终目的。

PPT的实验报告[新版].doc

膈莅蕿罿 计算机实验报告 课程名称:大学计算机基础 实验名称: 学院:专业: 报告人:级: 同组人: 指导教师: 实验时间: 实验报告提交时间: 教务处制 一、实验目的 ①掌握演示文稿制作的基本过程②按时文稿播放的基本操作 二、实验内容 ㈠powerpoint的启动及其窗口 ⒈大纲窗格 ⒉演示文稿编辑区⒊备注区 ㈡演示文稿的创建、保存与打开 ⒈演示文稿的创建⒉插入对象 ⒊演示文稿的保存⒋演示文稿的关闭⒌演示文稿的打开 ㈢演示文稿视图 ⒈普通视图 ⒉幻灯片浏览视图⒊幻灯片放映视图 ㈣格式化幻灯片 ⒈文本格式化⒉修饰幻灯片背景⒊使用配色方案⒋修改母版⒌应用版式⒍应用模板 ㈤管理幻灯片 ⒈选择幻灯片⒉删除幻灯片 ⒊插入新幻灯片⒋移动与复制幻灯片 ㈥幻灯片的放映 ⒈默认的播放效果 ⒉设置幻灯片切换方式⒊设置动画 ㈦打印幻灯片㈧应用举例 ㈨powerpoint的高级应用 ⒈个人简历的制作⒉旅游推荐的制作 三、实验结果展示 (1)powerpoint的启动及其窗口 执行“开始”→“所有程序”→“microsoft office”→“microsoft office powerpoint 2003”命令,或双击桌面上的powerpoint快捷图标,打开powerpoint应用程序窗口(2)演示文稿的创建、保存与打开 1、演示文稿的创建 建立第一张文稿 启动powerpoint后,演示文稿编辑区显示一张空白的幻灯片。用户可以先单击标题文本框,输入文本“深圳大学信息学院”。 建立第二张文稿

执行“插入”菜单的“新幻灯片”命令,powerpoint会自动增加一张版式为“标题和文 本”的新幻灯片。 2、插入对象 插入对象 在powerpoint中可以插入的对象包括了文本、艺术字、表格、图形和图片等。使用插入 对象可以丰富幻灯片的内容。 使用“插入”菜单的“对象”命令,出现“插入对象”对话框。 [羃袅莄蕿] 选择“新建”则直接从应用软件中创建;选择“由文件创建”则插入内容为已存在的对 象文件。 powerpoint也提供了一些剪贴画,可使用“插入”菜单的“图片”→“剪贴画”命令插 入剪贴画。如图6-6为插入了剪贴画的幻灯片。 单击“绘图”工具栏的“插入艺术字”按钮,可插入艺术字。 插入图片 3、演示文稿的保存 演示文稿建立完毕,使用“文件”菜单的“保存”命令保存文稿。首次保存会出现“另 存为”对话框,可以选择保存的位置、类型、文件名,再次则不在出现。若希望改变某些保 存选项,可使用“文件”菜单的“另存为”命令。 演示文稿存盘后,其文件扩展名为.pps。 4、演示文稿的关闭 使用“文件”菜单的“关闭”命令可关闭暂时不再使用的演示文稿。 5、演示文稿的打 开 执行“文件”菜单的“打开”命令可以打开一个已存在的演示文稿。篇二:ppt实验报 告 ??实验报告 一、实验目的 1. 熟悉office软件的编辑制作环境;熟练掌握 powerpoint演示文稿图形程序,掌握 课件基本动态的设置和交互创建方法。 2.熟悉多媒体课件的开发流程,形成初步的多媒体课件的设计、开发能力;提高学生 的合作意识,培养学生创新能力。 二、实验环境 多媒体计算机; windows xp 操作系统 三、实验内容 [肄芅蚁螃] 实验内容:利用powerpoint程序设计与制作一个内容相对完整的自学演示型课件。结合 自己的专业,选择了比较合适的教学内容,有利于让学生更好的接受该课程,更好的理解该课 程教学的重难点,学习更多的知识。 [芁螀袈羀] 四、操作步骤 [蒂蚁罿蒂] 1.首先准备好要做成模版的图片,打开powerpoint并新建一个空白的ppt文档。 2.视图→母版→幻灯片母版,进入母版编辑状态。??点击绘图工具栏上的“插入图 片”按钮(或按“插入→图片→来自文件”),选中要做为模版的图片,确定。并调整图 片大小,使之与母版大小一致。 ?? 3.在图片上点击鼠标右键,叠放次序→置于底层,使图片不能影响对母版排版的编辑。

计算机操作系统综合设计实验报告实验一

计算机操作系统综合设计 实验一 实验名称:进程创建模拟实现 实验类型:验证型 实验环境: win7 vc++6.0 指导老师: 专业班级: 姓名: 学号: 联系电话: 实验地点:东六E507 实验日期:2017 年 10 月 10 日 实验报告日期:2017 年 10 月 10 日 实验成绩:

一、实验目的 1)理解进程创建相关理论; 2)掌握进程创建方法; 3)掌握进程相关数据结构。 二、实验内容 windows 7 Visual C++ 6.0 三、实验步骤 1、实验内容 1)输入给定代码; 2)进行功能测试并得出正确结果。 2、实验步骤 1)输入代码 A、打开 Visual C++ 6.0 ; B、新建 c++ 文件,创建basic.h 头文件,并且创建 main.cpp 2)进行功能测试并得出正确结果 A 、编译、运行main.cpp B、输入测试数据 创建10个进程;创建进程树中4层以上的数型结构 结构如图所示:。

createpc 创建进程命令。 参数: 1 pid(进程id)、 2 ppid(父进程id)、3 prio(优先级)。 示例:createpc(2,1,2) 。创建一个进程,其进程号为2,父进程号为1,优先级为2 3)输入创建进程代码及运行截图 4)显示创建的进程

3、画出createpc函数程序流程图 分析createpc函数的代码,画出如下流程图:

四、实验总结 1、实验思考 (1)进程创建的核心内容是什么? 答: 1)申请空白PCB 2)为新进程分配资源 3)初始化进程控制块 4)将新进程插入到就绪队列 (2)该设计和实际的操作系统进程创建相比,缺少了哪些步骤? 答:只是模拟的创建,并没有分配资源 2、个人总结 通过这次课程设计,加深了对操作系统的认识,了解了操作系统中进程创建的过程,对进程创建有了深入的了解,并能够用高 级语言进行模拟演示。一分耕耘,一分收获,这次的课程设计让 我受益匪浅。虽然自己所做的很少也不够完善,但毕竟也是努 力的结果。另外,使我体会最深的是:任何一门知识的掌握, 仅靠学习理论知识是远远不够的,要与实际动手操作相结合才能 达到功效。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

电子时钟课程设计模板

电子时钟课程设计 电子时钟设计 一、课程设计目的和意义 掌握8255、 8259、 8253芯片使用方法和编程方法, 经过本次课程设计, 学以致用, 进一步理解所学的相关芯片的原理、内部结

构、使用方法等, 学会相关芯片实际应用及编程, 系统中采用8088微处理器完成了电子钟的小系统的独立设计。同时并了解综合问题的程序设计掌握实时处理程序的编制和调试方法, 掌握一般的设计步骤和流程, 使我们以后搞设计时逻辑更加清晰。 二、开发环境及设备 1、设计环境 PC机一台、 windows 98系统、实验箱、导线若干。 2、设计所用设备 8253定时器: 用于产生秒脉冲, 其输出信号可作为中断请示信号送IRQ2。 8255并口: 用做接口芯片, 和控制键相连。 8259中断控制器: 用于产生中断。 LED: 四个LED用于显示分: 秒值。 KK1或KK2键与K7键, 用于控制设置。 三、设计思想与原理 1、设计思想 在本系统设计的电子时钟以8088微处理器作为CPU, 用8253做定时计数器产生时钟频率, 8255做可编程并行接口显示时钟和控制键电路, 8259做中断控制器产生中断。在此系统中, 8253的功能是定时, 接入8253的CLK信号为周期性时钟信号。8253采用计数器0, 工作于方式2, 使8253的OUT0端输出周期性的负脉冲信

号。即每隔20ms, 8253的OUT0端就会输出一个负脉冲的信号, 此信号接8259的IR2, 当中断到50次数后, CPU即处理, 使液晶显示器上的时间发生变化。 其中8259只需初始化ICW1, 其功能是向8259表明IRx输入 是电瓶触发方式还是上升沿触发方式, 是单片8259还是多片8259。8259接收到信号后, 产生中断信号送CPU处理。 2、设计原理 利用实验台上提供的定时器8253和扩展板上提供的8259以 及控制键和数码显示电路, 设计一个电子时钟, 由8253中断定时, 控制键控制电子时钟的启停及初始值的预置。电子时钟的显示格 式MM: SS由左到右分别为分、秒, 最大记时59: 59超过这个时间分秒位都清零从00: 00重新开始。 基本工作原理: 每百分之一秒对百分之一秒寄存器的内容加一, 并依次对秒、分寄存器的内容加一, 四个数码管动态显示分、秒 的当前值。 三、设计所用芯片结构 1、 8259A芯片的内部结构及引脚 中断控制器8259A是Intel公司专为控制优先级中断而设计开发的芯片。它将中断源优先排队、辨别中断源以及提供中断矢量的电路集中于一片中。因此无需附加任何电路, 只需对8259A编程, 就能够管理8级中断, 并选择优先模式和中断请求方式, 即中断

虚拟现实实验报告

虚拟现实实验报告 篇一:虚拟现实技术实验报告 虚拟现实技术实验报告 实验一:Sketch Up软件认识与使用 一、实验目的与要求: 1. 目的 通过本次实验,使学生掌握Sketch Up软件的基本架构,理解利用Sketch Up进行场景制作的基本步骤,能够熟练运用Sketch Up软件的主要功能及相关工具。 2. 要求 每位学生进行Sketch Up软件的安装和配置,操作练习Sketch Up的主要功能及相关工具,理解体会各种操作的执行结果,并独立总结撰写完成实验报告。 二、Sketch Up的主要功能: 边缘和平面:这是绘图最基本的元素 每个 Sketch Up 模型皆由两种元素组成:边缘和平面。边缘是直线,而平面是由几条边缘构成一个平面循环时所形成的平面形状。例如,矩形平面是由四条边缘以直角角度互相连接在一起所构成的。自己可在短时间内学会使用Sketch Up 的简单工具,从而绘制边缘和平面来建立模型。一切就是这么简单容易! 推/拉:从 2D 迅速转为 3D

使用 Sketch Up 专利设计的 [推/拉] 工具,可以将任何平面延伸成立体形状。单击鼠标就可开始延伸,移动鼠标,然后再单击即可停止延伸。自己可以将一个矩形推/拉成一个盒子。或绘制一个楼梯的轮廓并将其推/拉成立体的 3D 形状。想绘制一个窗户吗?只需在墙上推/拉出一个孔即可。Sketch Up 易于使用而广受欢迎,原因就在于其推/拉的功能。 精确测量:以精确度来进行作业处理 Sketch Up 特别适合在 3D 环境中进行迅速的绘图处理,但是它的功能不仅仅只是一只神奇的电子画笔而已。因为当自己在计算机上进行绘图处理时,自己在 Sketch Up 中所建立的一切对象都具有精确的尺寸。当自己准备好要建立模型时,自己可以随意根据自己想要的精确度来进行模型的建立。如果自己愿意,自己可以将模型的比例视图打印出来。如果自己有 Sketch Up Pro,自己甚至还可将自己的几何图形导出到 AutoCAD 和 3ds MAX 等其他程序内。 路径跟随:建立复杂的延伸和板条形状 使用 Sketch Up 创新万能的 [路径跟随] 工具,可以将平面沿预先定义的路径进行延伸以建立 3D 形状。沿 L 形线路延伸一个圆形即可建立一个弯管的模型。绘制瓶子的一半轮廓,然后使用 [路径跟随] 工具沿一个圆形来扫动,就能建立一个瓶子。自己甚至还可以使用 [路径跟随] 工具

吉林大学无机化学研究生化学综合设计实验报告--全

化学综合和设计实验 实验报告 姓名:李玲云 学号:2014332036 专业:无机化学

扫描电子显微镜和EDS能谱演示实验 一、实验目的 1、初步了解扫描电子显微镜的工作原理、基本构造、操作及用途 2、掌握样品的制备方法 二、扫描电子显微镜的工作原理及用途 从电子枪阴极发出的直径20cm~30cm的电子束,受到阴阳极之间加速电压的作用,射向镜筒,经过聚光镜及物镜的会聚作用,缩小成直径约几毫微米的电子探针。在物镜上部的扫描线圈的作用下,电子探针在样品表面作光栅状扫描并且激发出多种电子信号。这些电子信号被相应的检测器检测,经过放大、转换,变成电压信号,最后被送到显像管的栅极上并且调制显像管的亮度。显像管中的电子束在荧光屏上也作光栅状扫描,并且这种扫描运动与样品表面的电子束的扫描运动严格同步,这样即获得衬度与所接收信号强度相对应的扫描电子像,这种图象反映了样品表面的形貌特征。第二节扫描电镜生物样品制备技术大多数生物样品都含有水分,而且比较柔软,因此,在进行扫描电镜观察前,要对样品作相应的处理。扫描电镜样品制备的主要要求是:尽可能使样品的表面结构保存好,没有变形和污染,样品干燥并且有良好导电性能。 在高压(2~20kV)的作用下,利用聚焦得到非常细的高能电子束,使其在试样上扫描(电子束与试样表层物质相互作用),激发出背散射电子、二次电子等信息,通过对上述信息的接收、放大和显示

成像,对试样表面进行分析。 根据量子力学理论,物质中存在着隧道现象,电子可以通过隧道穿过一个能级高度大于其总能量的势垒而出现在势垒的另一侧。因此,物质的表面电子可以借助隧道作用散逸出来,在物质表面附近形成电子云。在导体表面电子云中某位置的电子几率密度,会随着此位置与表面距离的增大而以指数形式迅速衰减。 扫描电子显微镜被广泛应用于材料科学、生物医学、信息产业、地质、石油化工和其它相关学科领域。是在微观尺度范围内,对样品的形貌进行观察、分析和测量的工具。现在的扫描电子显微镜,在配备相应附件后,可以获得试样表面的化学成分,晶体缺陷、电势、磁场及晶体取向等信息,是对固体物质表层进行综合分析的仪器。 吉林大学无机合成与制备化学国家重点实验室拥有场发射扫描电子显微镜。该显微镜通过接收二次电子信息来对样品表面形貌进行分析。显微镜的扫描倍数从25到650000倍,最大分辨率可达到1nm。显微镜有Oxford的能谱附件,可以进行样品的能谱测试。该显微镜不能对具有较强磁性的物质进行分析。 三、扫描电子显微镜的构造 1、电子光学系统(镜筒) 电子枪、三个电磁透镜、扫描线圈、试样室 电子枪中的灯丝产生高能电子束,电子枪的引出电压直接反映了灯丝状态的好坏(5kV~8kV不等)。每次实验都必须注意并记录电子枪引出电压。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

电子时钟课程设计

单片机课程设计 题目:电子时钟班级:

摘要 针对数字时钟的问题,利用8051单片机,proteus软件,vw(伟福)等软件,运用单片机中定时计数器T0,中断系统以及按键的控制实现了电子时钟的设计。设计的电子时钟通过数码管显示,并能通过按键的设计实现小时与分钟的调整。时间的启动与暂停等等。 关键字:数字时钟;单片机;定时计数器

1 引言 时钟,自他发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术不断的发展,人们对时间计量的进度要求越来越高,应用越来越广。怎样让时钟更好地为人类服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现金,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都使用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示器,减小了计时误差,这种表具有时、分、秒显示的功能,还可以进行时、分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系 I\O

2 设计方案及原理 2.1 中断系统简介 MCS-51单片机提供5个硬件中断源,2个外部中断源,2个定时计数器T0和T1的溢出中断TF0和TF1,1个串行口发送TI和接收RI中断。 MCS-51单片机中没有专门的开中断和关中断指令,对各个中断源的允许和屏蔽是由内部的中断允许寄存器IE的各位来控制的。中断允许寄存器IE的字节地址为A8H,可以进行位寻址。系统复位时,中断允许寄存器IE的内容为00H,如果要开放某个中断源,则必须使IE中的总控置位和对应的中断允许位置“1”。 中断、 计数器、16 数码管显示器,通常的译码方式有两种:硬件译码方式和软件译码方式。LED数码管在显示时,通常有两种显示方式:静态显示方式和动态显示方式。在使用时可以把它们组合起来。在实际应用时,如果数码管个数较少,通常用硬件译码静态显示,在数码管个数较多时,则通常用软件译码动态显示。 2.4 设计思想 电子时钟是利用单片机内部的定时器\计数器来实现的,它的处理过程如下:首先设定单片机内部的一个定时器\计数器工作于定时方式,对机器周期计数形成基准时间,然后对基准时间计数形成秒,秒计60次形成分,分计60次形成小时,小时计24次则计满一天。然后通过数码管把它们的内容在相应位置显示出来即可。

相关文档
最新文档