电子技术基础实验在线作业

电子技术基础实验在线作业
电子技术基础实验在线作业

电子技术基础在线实验作业

单选题

1.以下代码中为无权码的是:

? A 5421码

? B 格雷码

? C 8421码

单选题

2.下列等式中正确的是:

? A A(A+B+C)’=B’C’

? B AB+AB’=A+B

? C A+AB+B=A+B

单选题

3.典型的双极型三极管放大电路中,下列哪种电路既能放大电流,又

能放大电压:

? A 共基放大电路

? B 共集放大电路

? C 共射放大电路

单选题

4.典型的双极型三极管放大电路中,下列哪种电路输出电阻最小:? A 共基放大电路

? B 共集放大电路

? C 共射放大电路

单选题

5.为了稳定静态工作点,应在放大电路中引入:

? A 直流负反馈

? B 电压负反馈

? C 交流负反馈

单选题

6.某电流值为0.05846A,其有效数字的个数为:

? A 4

? B 5

? C 6

单选题

7.硅材料二极管的导通电压约为:

? A 0.3V

? B 0.5V

? C 0.7

单选题

8.(110.1)2转换成16进制数是:

? A 110.1

? B 6.8

? C 2.1

单选题

9.对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次

态应该为:

? A 1

? B 0

? C Q’

单选题

10.测量放大电路的静态工作点时应该选择下列哪种仪器:

? A 信号发生器

? B 万用表

? C 交流毫伏表

单选题

11.下列哪种仪器常用来判断二极管的极性:

? A 示波器

? B 万用表

? C 信号发生器

单选题

12.下列说法正确的是:

? A 卡诺图的每一个小方块都代表着一个最小项

? B 卡诺图中最小项的排列方式是随机排列的

? C 卡诺图中最小项的排列方式是按最小项从小到大数字编号顺序排列的单选题

13.下列属于系统误差的是:

? A 近似测量方法误差

? B 计数习惯误差

? C 仪表零点漂移误差

单选题

14.用万用表测量交流电流时,应将功能/量程开关置于量程范围,并

将表笔接到被测电路中。

? A A~,串

? B A~,并

? C V~,串

判断题

15.译码器、编码器和寄存器都属于组合逻辑电路。

?正确错误

判断题

16.若两个逻辑函数具有不同的逻辑表达式,则两个逻辑函数有可能相

等。

?正确错误

判断题

17.若J=K’,则完成T触发器的逻辑功能。

?正确错误

判断题

18.使输入量减小的反馈是负反馈,否则为正反馈。

?正确错误

判断题

19.负反馈放大电路的放大倍数AF=A/(1+AF)。

?正确错误

判断题

20.凡是引入正反馈的集成运入,一定工作在非线性区。

?正确错误

判断题

21.数字信号中逻辑0的电位值是由具体电路而定,但应该小于噪声容

限的最小值。

?正确错误

判断题

22.电压负反馈稳定输出电压,电流负反馈稳定输出电流。

?正确错误

判断题

23.示波器可以用来测量交流信号的频率。

?正确错误

判断题

24.一位十六进制数可以用2位二进制数来表示。

?正确错误

判断题

25.与非门的逻辑功能是当输入端有一个或一个以上是低电平时,输出

端为高电平;只有当输入端全为高电平时,输出端才是低电平。

?正确错误

判断题

26.用万用表判断三极管的管脚,当基极判断出来后,若黑表笔接基极

时测得的两个电阻值较小,红表笔接基极时测得的两个电阻值较大,则此三极管是PNP型三极管。

?正确错误

判断题

27.用示波器观测波形时,要想让波形在垂直方向上的高度合适,应当

调节V/DIV旋钮。

?正确错误

判断题

28.数字交流毫伏表可以用来测量器件的电压有效值。

?正确错误

判断题

29.三态门的三种状态分别为高电平、低电平、不高不低电平。?正确错误

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

电工电子技术基础——习题解答

第1章习题 1-1 判断题 1.电荷的定向移动形成电流。(√) 2.直流电路中,电流总是从高电位流向低电位。(√) 3.电阻的体积越大,其额定的耗散功率越大。(√) 4.电阻的额定功率越大,它消耗的电能就越大。(×) 5.电阻串联时,各电阻上消耗的功率与其电阻的阻值成反比。(×) 6.电流表必须串联在电路中应用,而电压表则必须并联在电路中应用。(√) 7.在选择电器时,电器的额定电压一定要等于电源的额定电压。(√)8.额定功率越大的电器,其消耗的电能一定多。(×) 9.电压源和电流源是同一电源的两种不同的等效模型。(√) 10.电容器和电阻器虽然结构不同,其实是同一类型的电气元件。(×)11.电容器并联总电容量增加;电容器串联总电容量减小。(√) 12.对于同一个电容器,两端的电压越高其储存的电场能量越小。(√)1-2 计算题 1 一直流电流流过导体,已知在1min内通过导体横截面的电荷量为6000C,问该电流有多大?如果在1s内通过导体横截面的电荷量为6000C,问该电流有多大? 解:根据I=Q/t, 有 (1)I=6000C/60s=100A (2)I=6000C/1s=6000A 2 试在图1-30中标出电流、电动势、电压的实际方向,并问通过电流表A1和A2的电流是否相等?B、C、D各点的电位谁高谁低? 图1-30 题2图 解:因为A1、A2是串联关系,流过的电流相等;电位的排序为:B>C>D

3 有两条长度为1km 、截面积为2mm 2的导线,一条是铝线,一条是铜线,这两条导线在常温下的电阻各为多少?要想使铝导线的电阻与铜导线的电阻相同,铝导线的截面积应增加为多大? 解: (1)铝导线常温下的电阻: =???==--6 3610210100283.0S l R 铝铝ρ14.15Ω (2)铜导线常温下的电阻: =???==--63610 210100175.0S l R 铜铜ρ8.75Ω (3)铝导线的电阻与铜导线的电阻相同时铝导线的截面积为: 26 36m m 23.31073.810100283.0=???=--铝S 4 有两只灯泡,额定功率都为40W ,一只额定电压为36V ,另一只额定电压为12V ,两只灯泡工作时的电阻各为多少?如果将两只灯泡串联后接于48V 的电源上,哪只灯泡的电压超过了额定电压?将会有什么现象发生? 解: (1)工作电压为36V R =U 2/P =32.4Ω (2)工作电压为12V R =U 2/P =3.8Ω (3)串联接于48V 电源上 两电阻之和为R =32.4+3.8=36.2Ω 根据串联电阻分压公式有 2.434836 4.3211=?==U R R U V U 2=48-43.2=4.8V 额定电压为36V 的灯泡过压,灯丝会烧断。 5.计算下列灯泡的电阻及额定电压下的电流,并加以比较,澄清诸如“电

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电工电子技术基础-在线作业-

电工电子技术基础_在线作业_5 交卷时间:2017-01-16 13:54:47 考试成绩100分 一、单选题 1. (5分)555集成定时器内部属于()电路。 ? A. 模拟 ? B. 模拟和数字 ? C. 数字 ? D. 分立元件 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案B 解析 2. (5分)74290的控制信号R9(1)、R9(2)均为高电平时,计数器的输出为()。

? A. 0 0 0 0 ? B. 1 0 0 1 ? C. 1 1 1 1 ? D. 1 0 0 0 纠错 得分:5 知识点:电工电子技术基础展开解析 答案B 解析 3. (5分) ? A. 串联电 压负反馈 ? B. 并联电流负反馈 ? C. 串联电流负反馈 纠错 得分:5 知识点:电工电子技术基础 展开解析 某测量放大电路,欲提高输入电阻、稳定输出电流,应引入()。

答案C 解析 4. (5分)为了提高电感性负载的功率因数,可以采用与电感性负载串联电容的办法。() ? A. 对 ? B. 错 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案B 解析 5. (5分)恒流源的端口电压不会随负载的变化而变化,但恒流源的端口电流却会随负载的变化而变化。() ? A. 对 ? B. 错 纠错 得分:5

知识点:电工电子技术基础 展开解析 答案B 解析 6. (5分)可以表示为()。 ? A. ? B. ? C. ? D. 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案D 解析 7. (5分)555集成定时器内部3个电阻的作用是()。 ? A. 加压 ? B. 充电

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电工电子技术基础-在线作业_C

电工电子技术基础-在线作业_C最终成绩:100.0 一单项选择题 1. 字符“A”的ASCII码为()。 1000100 1000001 0001100 1001000 本题分值: 5.0 用户得分: 5.0 用户解答: 1000001 知识点: 2. 逻辑变量的取值,1比0大。()。 错 对 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 3. 异或函数与同或函数在逻辑上互为反函数。() 对 错 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 4. 的逻辑表达式为()。

本题分值: 5.0 用户得分: 5.0 用户解答: 知识点: 5. 上图电路的逻辑表达式为()。 本题分值: 5.0 用户得分: 5.0 用户解答: 知识点: 6. 若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 错 对 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 7. 若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() 错

本题分值: 5.0 用户得分: 5.0 用户解答:错 知识点: 8. 上图是一位()电路符号。 全加器 译码器 半加器 编码器 本题分值: 5.0 用户得分: 5.0 用户解答:全加器 知识点: 9. “竞争-冒险”现象是由于门电路出现互补输入信号的缘故。() 错 对 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 10. 时序逻辑电路的输出()。 与电路的上一个状态无关 只与输入信号有关 与电路的上一个状态有关 与输入信号无关 本题分值: 5.0 用户得分: 5.0 用户解答:与电路的上一个状态有关

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电工电子技术基础在线作业Abcdef

1. 若规定一个电路元件的电压与电流参考方向相关联,并计算得出其功率大于0,则该电路元件是吸收功率。() 对 错 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 2. 对于电路中的一个线性电阻元件,若规定其电压u和电流i的参考方向一致,则。() 对 错 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 3. 对于电路中的一个线性电感元件,若规定其电压u和电流i的参考方向一致,则。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:对 知识点:

4. 对于电路中的一个线性电容元件,若规定其电压u和电流i的参考方向一致,则。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 5. 恒压源和恒流源可以等效互换。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:错 知识点: 6. 恒压源的端口电压不会随负载的变化而变化,但恒压源的端口电流却会随负载的变化而变化。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:对 知识点:

7. 恒流源的端口电压不会随负载的变化而变化,但恒流源的端口电流却会随负载的变化而变化。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:错 知识点: 8. 当电路发生换路时,对电容元件来说,应有。() 对 错 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 9. 当电路发生换路时,对电感元件来说,应有。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:错 知识点: 10. 若购得一个耐压为300V的电器,则可以用在220V的交流电源上。() 对

电工电子技术基础练习题

电工电子技术基础练习题(1) 1、固定偏置放大电路如图所示,已知U CC V =20,U BE .V =07,晶体管的电流放 大系数β=100,欲满足I C mA =2,U CE V =4的要求,试求电阻R B ,R C 的阻值。 T ++ R B R C u o u i +U CC C 1 C 2 +-+- 2、电 路 如 图 所 示, 已 知 晶 体 管 的β=60,r be k =1Ω,U BE =0.7 V , 试 求:(1) 静 态 工 作 点 IB ,IC ,UCE 。 +3kΩ270kΩ + C 1 C 2 u i u o R B1R C +12V 3DG6 + - + - 3、电 路 如 图 所 示, 已 知 晶 体 管β=100,计 算 电 路 的 静 态 值 I B , I C ,U CE 。 4、如图:若U CC =6V ,R C =2k Ω,R B =200 k Ω, β=50,用估算法计算其静态工作点。 5、晶体管放大电路如下图所示: 已知β =100,r b e =1k Ω,Vcc=24V ,Rc

=3k Ω,R b =600k Ω,RL =1.5k Ω,试近似计算:放大电路的输入电阻R i ;输出电阻Ro ;电压放大倍数A u 。 6、放大电路如图所示,晶体管的电流放大系数β=50, U BE .V =06 ,R B1=110 k Ω,R B2=10 k Ω,RC=6k Ω,RE =400Ω,RL =6 k Ω,求:(1) 计算静态工作点;(2)画出微变等效电路; +R B1 R B2 R C R E R L u o u i + C 2 C 1+12V C B E + - +- 7、电 路 如 图所示,已知β=50,r be k =1 Ω,要求:(1) 画出两个电 路 的 微 变 等 效 电 路 ;(2) 计算输入电阻,输出电 阻。 8、单相半波整流电路中,U1为变压器原边电压,U2为变压器副边电压,U O 为负载电阻R L 上的电压,若U1是400V ,U1和U2线圈扎数比是20:1,计算U O 9、单相桥式整流电路中,U1为变压器原边电压,U2为变压器副边电压,

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

电工电子技术基础习题答案汇总

第1章 电路的基本知识 1.1 电路的概念 (1)略 (2)电路通常由电源、负载和中间环节(导线和开关)等部分组成。 A .电源的作用:将其他形式的能转换成电能。 B .负载的作用:将电能转换成其他形式的能。 C .中间环节的作用:传递、分配和控制电能。 1.2 电路中的主要物理量 (1)零、负电位、正电位 (2)3、1.5、3、1.5、0、3 (3)-7,-5 1.3 电阻 (1)3∶4 (2)查表1.3,知锰铜合金的电阻率?Ω?=-7 10 4.4ρm 根据S l R ρ=,得43.1104.41021.0376=???==--ρRS l m 1.4 欧姆定律 (1)电动势、内压降 (2)当R =∞ 时,电路处于开路状态,其特点是电路中电流为零,电源端电压等于电源电动势;当R =0时,电路处于短路状态,其特点是短路电流极大,电源端电压等于0。 (3)22.01000 220 === R U I A 由于22.0=I A=220mA 50>mA ,故此人有生命危险。 1.5 电功与电功率 (1)2540 1000 ===P W t h (2)略 (3)31680072002.0220=??==UIt W J 思考与练习 一、判断题 1.√ 2. × 3. √ 4. × 5. √ 6. × 7. × 8. √ 9. × 二、选择题 1. C 2. C 3. B 4. B 5. B 6. B 7. C 8. B 三、填空题

1.正、相反; 2.参考点; 3.负极、正极; 4.高、低、低、高; 5.材料、长度、横截面积、 S l R ρ =; 6.1800、±5%; 7.220 四、计算题 1.5510=-=-=b a ab V V U V 10)5(5=--=-=c b bc V V U V 15)5(10=--=-=c a ac V V U V 15-=-=ac ca U U V 2.2.012024===t Q I A Ω=== 202 .04I U R 3.(1)210 100220 =+=+= r R E I A (2)2001002=?==IR U V (3)20102=?==Ir U r V 4.(1)8804220=?==UI P W (2)15840001800880=?==Pt W J (3)1440018005.042 2 =??==Rt I Q J (4)1569600144001584000=-=-=Q W E J 第2章 直流电路的分析与计算 2.1 电阻的连接 (1)5.04 2 11=== R U I A 10205.022=?==IR U V 1210221=+=+=U U U V (2)由于1 2 2 212 21R R R U R U P P = = 故142820 101212=?== P R R P W

电工电子技术基础在线作业

电工电子技术基础_在线作业_2 交卷时间:2016-10-30 12:37:53 一、单选题 1. (5分)要使JK触发器的输出Q处于反转的状态,它的输入信号JK应为()。 A. 0 0 B. 1 0 C. 0 1 D. 1 1 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 D 解析 2. (5分)由555集成定时器组成单稳态触发器时,需要外加的元件是()。 A. 两个电阻、一个电容 B. 两个电容

C. 一个电阻、一个电容 D. 两个电阻 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 C 解析 3. (5分)要使JK触发器的输出Q=0的状态,它的输入信号JK应为()。 A. 不变 B. 0 1 C. 1 0 D. 翻转 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 B 解析

4. (5分)上图是()电路符号。 A. 逻辑与 B. 逻辑非 C. 逻辑或 D. 逻辑加 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 B 解析 5. (5分)计数器电路由触发器组成。() A. 对 B. 错 纠错 得分: 5 知识点:电工电子技术基础

收起解析 答案 A 解析 6. (5分)在交流电路中,电动势、电压及电流的有效值分别表示为()。 A. B. C. D. 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 C 解析 7. (5分)字符“A”的ASCII码为()。 A. 0001100 B. 1001000 C. 1000100

D. 1000001 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 D 解析 8. (5分)在交流电路中,容抗随频率的增大而增大。() A. 对 B. 错 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 B 解析 9. (5分)逻辑变量的取值,1比0大。()。

电工电子技术基础综合练习题.doc

电工电子基础综合练习 填空 *基本的数字逻辑门电路是:与门电路、_________或____和____非_______。 * 正逻辑体制中,高电平用_____1_______表示。低电平用______0______表示。 * 常用的单相整流电路有_____单相桥式全波整流___________、__________半波整流______等两种。 * 在单相桥式整流电路中,如果负载电流是2A,则流过每只二极管的电流是____1____A。如果负载电阻等于10Ω,则输出电压等于____20_____V。 *滤波电路中,滤波电感和负载___串____联,滤波电容和负载___并___联。 *硅稳压管在电路中,它的正极必须接电源的___负____极,它的负极接电源的____正___极。 *电流流通的___路径___叫电路,通常是由_____电源___、__负载_____、____导线__和____中间控制环节_____组成。 * 电路有三种工作状态,即___开路___状态、___短路____状态、通路状态。 *电源是将_____机械能____、______化学能_______、_______热能_______转换成______电能____的设备。 * 电路中两点间的电位之差称为两点间的______电压____。 * 电源的外特性是指_____端电压U___________随_____负载电流I____________的变化关系。 *基尔霍夫电流定律是指流入电路中任一节点的电流___之和______等于—_____流出____该节点的电流____之和_____。 * 正弦交流电的三要素是指____幅值_______、_____频率_____、____初相位______。 * 正弦交流量表达式中最大值与有效值的关系为_____Im=根号二Io________。* 两个频率相同的正弦交流量的_____初相位_______之差称为相位差。 *由两个电阻组成的串联电路,两端的总电压是100V,其中一个电阻为80Ω,两端电压为40V,则另一电阻为__120___Ω。 *放大电路静态工作点设置不合适,容易产生输出电压非线性失真,工作点过高容易产生饱和失真,工作点过低容易产生截止失真。 *PN结具有单相导通性性能,即加正向电压时PN结导通,加反向电压时PN结截止。 *电路中如果流过二极管的正向电流过大,二极管将会过热而损坏;若加在二极管两端的反向电压过高,二极管会击穿。 *某晶体三极管的U CE不变,基极电流I B=40uA时,I C=1mA,则发射极电流I E= mA,如果基极电流I B增大到60uA时,I C增加到2mA,则发射极电流I E=

电工电子技术基础教材

电工电子技术基础教材 (第一版) 主编:马润渊张奋

目录 第一章安全用电 (1) 第二章直流电路基础 (2) 第三章正弦交流电路 (21) 第四章三相电路 (27) 第五章变压器 (39) 第六章电动机 (54) 第七章常用半导体 (59) 第八章基本放大电路 (65) 第九章集成运算放大器 (72) 第十章直流稳压电源 (75) 第十一章数制与编码 (78) 第十二章逻辑代数基础 (81) 第十三章门电路和组合逻辑电路 (84)

第一章安全用电 学习要点: 了解电流对人体的危害 掌握安全用电的基本知识 掌握触点急救的方法 1.1 触电方式 安全电压:36V和12V两种。一般情况下可采用36V的安全电压,在非常潮湿的场所或 容易大面积触电的场所,如坑道内、锅炉内作业,应采用12V的安全电压。 1.1.1直接触电及其防护 直接触电又可分为单相触电和两相触电。两相触电非常危险,单相触电在电源中性点接地的情况下也是很危险的。其防护方法主要是对带电导体加绝缘、变电所的带电设备加隔离栅栏或防护罩等设施。 1.1.2间接触电及其防护 间接触电主要有跨步电压触电和接触电压触电。虽然危险程度不如直接触电的情况,但也应尽量避免。防护的方法是将设备正常时不带电的外露可导电部分接地,并装设接地保护 等。 1.2 接地与接零 电气设备的保护接地和保护接零是为了防止人体接触绝缘损坏的电气设备所引起的触电事故而采取的有效措施。 1.2.1保护接地 电气设备的金属外壳或构架与土壤之间作良好的电气连接称为接地。可分为工作接地和保护接地两种。 工作接地是为了保证电器设备在正常及事故情况下可靠工作而进行的接地,如三相四线制电源中性点的接地。 保护接地是为了防止电器设备正常运行时,不带电的金属外壳或框架因漏电使人体接触时发生触电事故而进行的接地。适用于中性点不接地的低压电网。 1.2.2保护接零 在中性点接地的电网中,由于单相对地电流较大,保护接地就不能完全避免人体触电的危险,而要采用保护接零。将电气设备的金属外壳或构架与电网的零线相连接的保护方式叫保护接零。

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验 一、实验目的:1、熟悉面包板的结构 2、进一步掌握与非门、或非门、异或门的功能 3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块 74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台 三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1 面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图: 74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。 VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y 1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D 1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND 四、实验内容与步骤: 1、测试面包板的内部结构情况: 用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能: 1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1 其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。 5)分析测得的结果是否符合“与非”的关系。 *3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式 2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2 3注意:带*号的为选做内容。 五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器: 三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。 四、实验结果分析:将实验中出现的现象、结果进 行分析。 五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

电工电子技术基础习题集20071220

《电工电子技术基础习题集》 第一章直流电路 一、填空题 1.电路主要由电源、负载、中间环节、电阻四个基本部分组成。 2.导体对电流的限制叫电阻。电阻大,说明导体导电导电能力弱,电阻小,说明导体导电能力强。 3.用理想元件构成的电路叫做理想电压源,用特定的符号代表元件连接成的图形叫。 4.理想电阻的模型符号为。 5.在电压和电流为非关联方向下,电阻为1KΩ,电压为2V,电流为_____A。 6.有两根同种材料的电阻丝,长度之比为2 :3,横截面之比为3 :4,则它们的电阻之比为。 7.电容元件的模型符号为,电感元件的模型符号为。8.直流电路中形成电流的必要条件是有存在,而且电路需。9.电压是衡量电场本领大小的物理量。电路中某两点的电压等于。 10.电流是形成的,大小用表示。 11.电压的实际方向是由___电位指向___电位。 12.电压的参考方向可以用“+”、“―”参考极性表示,也可以用_____表示。 13.表征电流强弱的物理量叫,简称。电流的方向,规定为____电荷定向移动的方向。 14. 电烙铁的电阻是50Ω,使用时的电流是4A。则供电线路的电压为______。 15.单位换算:6mA=______A;0.08A=______ ;0.05V=____mV;10V=____kV。 16.选定电压参考方向后,如果计算出的电压值为正,说明电压实际方向与参考方向_____;如果电压值为负,电压实际方向与参考方向_____。 17. 按导电能力,物质分为_____、______、_____。 18.电阻值不随端电压和流过它的电流的改变而改变,这样的电阻称为______,它的伏安特性曲线是_______。 20.在串联电路中,等效电阻等于各电阻。串联的电阻越多,等效电阻 越。 21.在串联电路中,流过各电阻的电流,总电压等于各电阻电压,各电阻上电压与其阻值成。 23.利用串联电阻的原理可以扩大电压表的量程。 24.在并联电路中,等效电阻的倒数等于各电阻倒数。并联的的电阻越多,等效电阻值越。 25.利用并联电阻的原理可以扩大电流表的量程。 26.在220V电源上串联额定值为220V、60W和220V、40W的两个灯泡,灯泡亮的是_____;若将它们并联,灯泡亮的是____。 27.所谓支路电流法就是以____ 为未知量,依据____ 列出方程式,然后解联立方程得到____ 的数值。 28.用支路电流法解复杂直流电路时,应先列出____ 个独立节点电流方程,然后再列出_____个回路电压方程(假设电路有n条支路,m各节点,且n>m)。

数字电子技术实验报告册20141103汇总

2014-2015(1)学期数字电子技术实验报告册 班级 学号 姓名 北京信息科技大学 电子信息与控制实验教学中心 数字电子技术课程组

目录 实验一门电路的功能测试 (3) 实验二组合逻辑电路的设计................................................... 错误!未定义书签。实验三计数、译码、显示电路的设计 ................................... 错误!未定义书签。实验四555定时器设计电路.................................................... 错误!未定义书签。实验五基于FPGA的分频器的设计................................... 错误!未定义书签。实验六基于FPGA的跑马灯的设计....................................... 错误!未定义书签。

实验一门电路的功能测试 一、实验目的 (1)熟悉数字电路实验装置,能正确使用装置上的资源设计实验方案; (2)熟悉双列直插式集成电路的引脚排列及使用方法; (3)熟悉并验证典型集成门电路逻辑功能。 (4)了解数字集成电路数据手册的使用。 二、实验器材与仪器 (1)数字电路实验装置1台; (2)数字万用表1块; (3)双列直插集成电路芯片74LS00、74LS86、74LS125各1片,导线若干。 三、实验内容及步骤 使用数字电路实验装置,将相应的集成芯片插入IC插座,并使用导线将门电路输入端接实验箱的逻辑电平开关或脉冲,输出端接发光二极管LED或数码显示、蜂鸣器等,测试与非门、异或门、三态同相输出缓冲器的逻辑功能以及应用电路逻辑功能。 (1)验证与非门的逻辑功能 a)按照图1.1连接电路; b)电路通电运行,验证不同输入信号下,输出信号的逻辑状态; 实验测试数据见表1.1所示; c)通过分析实验数据,归纳总结与非门逻辑功能如下。 (2)与非门的应用一 a)按照图1.2连接电路;选择74LS00集成电路芯片中的另一个与 非门,将两个输入端短接,当一个输入端用。 b)电路通电运行,拨动开关S3,观察输出信号逻辑状态随输入的 变化,实验数据见表1.2。 c)通过分析实验现象,现归纳总结实验结果如下。

相关文档
最新文档