光电计数器设计报告

光电计数器设计报告
光电计数器设计报告

前言

随着大规模、自动化的生产不断发展,很多企业在生产的过程中,大量使用各种智能化的产品,提高生产管理水平。采用红外线遮光方式的光电计数器,抗干扰性好,可靠性高。可用于测量宾馆、饭店、商场、超市、博物馆、展览馆、车站、码头、银行等场所的人员数量及人员流通数量,同时丝毫不会侵犯到被测人员的个人隐私。该产品应用广泛,也可以测量流水线上的产品的数量,以及可检查产品有无缺损。适用于各种环境对产品的成品或者是半成品进行计数,以满足现代生产的适时管理和需要,实现了智能控制。

本人根据了光电计数器的工作原理,再结合了刚学过的模拟电子技术、数字电子技术、光电传感技术等电子类专业知识,制作了一个简易的红外光电计数器,本课题设计是对自己所学知识的一个综合运用和检验。同时也是自己走向社会前对产品的制作工艺以及产品生产流程的了解。

该电路的指导思想是利用红外发光管发射红外线,红外接收管接收此红外线,并将其放大、整流转换成高低电平信号,驱动计数器计数,并经译码驱动电路使数码管显示数值。该电路还设计了一个报警电路,当计数器计数到上限时(即99)时,产生一个进位脉冲来驱动555产生延时信号使蜂鸣器报警。由于本人经验不足,且实验器材精确度不高,故设计还有很多不足和缺陷,需做进一步的改进和完善。

第一章设计内容及要求

1.设计主要内容

该设计以红外发射及接收管为主要元器件产生光电脉冲,该脉冲通过双十进制加法计数器计数,4-8译码器译码,7段数码显示管显示来实现系统0-99光电计数及显示。当计数到99时计数暂停并报警。启动清零开关可重新计数。

2.设计要求

设计主要包含基本和提高要求两层次

基本要求:利用红外发射接收管作为光电计数器的传感器进行计数,用数码管显示计数值,当数码管显示值与设定值相同时报警,此外计数器停止计数,手动清除报警后可重新工作。

提高要求:

l)发光器件和光接收器之间的距离大于lM(提示:生于距离较远;需要增大发光二极管的电流,这种情况下只能采用脉冲供电方法,此时有物体和无物体其输出频率会产生变化。

2)有抗干扰技术,防止背景光和瓶子抖动产生计数误差

3)每计数100,用灯闪烁2S指示一下。

第二章系统设计方案选择

方案一

图方案一电路原理设计图

该电路采用遮光式红外管触发计数器计数,当计数器递增计时到99(即定时时间到)时,显示器上显示99,同时发出光电报警信号。译码显示电路由74LS48和共阴极七段LED显示器以及1K电阻排组成。报警电路主要由555定时器脉冲控制。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高。主要是利用555产生一个延时信号使蜂鸣器扬声报警,此时可按下复位开关是

电路重新从00开始计数。

方案二

图方案二电路原理设计图

方案比较

1.光电转换部分

方案一设计简单,原理清晰,对负载及红外信号的发射强度未予考虑。方案二红外接收管的负载能力得以提高,但实现光电脉冲对电阻及三极管的开关参数有一定的要求,红外接收管还会受到三极管作用下的外围负载影响,不易于实现标准的高低电平转化。

2.计数显示部分

由于两种方案在计数部分所用的芯片不同,因此在芯片的个数选择和各引角连接方面就存在明显的差异。其一74LS192多了清零端方便清零功能的实现。74LS190就需在置数端实现置数功能基础上做点改进,这里通过一双向开关实现硬件复位。其二是进制设置:74LS192采用的是S9=1001,74LS190采用的是S10=1010。都需要使用与非门实现置数,但是74LS192是同步置数,74LS190是异步置数,74LS192当一有进位信号时就开始置数,而74LS190置数信号有延迟。这是两者最主要的区别,也是方案设计选择前者的主要原因。

3.译码部分

都采用4输入8输出译码方式,实现功能相同,两者没有明显的优劣差异。

4.显示部分

都采用共阴极七断数码驱动显示管,此部分没有区别。

5.报警设置

若实现99报时,方案一设计更简单,直接从CO端引出报警信号,通过555定时器产生一定频率的脉冲驱动报警电路。方案二报警设计具有通用性,能设置0-99范围内任意数值显示时的报警,但设置报警数值时较为不便。考虑实际应用采用方案一。

总上所述,方案一更简易、经济,更可行。

第三章系统组成及工作原理

系统组成

图系统原理组成框图

工作原理

该计数器采用了遮光式红外发射与接收管来产生脉冲信号,当没有遮光物时,红外接收管产生低电平信号,再经过三极管信号放大反向后变为高电平信号,最后经过74LS14反向器又变为低电平,同理,当有遮光物挡住对管时,接收管产生高电平信号,在经过放大反向后,作用在74LS192计数器上一个高电平信号,这样就有一个正跳沿脉冲使计数器开始进行加计数,并且通过74LS48译码电路在两个共阴极数码管上显示计数值,计数部分采用了同步时序逻辑电路设计,当计数器递增计数到99(即计数最大值)时,两计数器开始同步置数,同时高位计数器产生进位脉冲信号驱动报警电路报警,报警电路采用的是NE555构成的多谐振荡器,振荡频率f0=1/(R1+2R2)CLn2=(R1+2R2)C,其输出信号经三极管推动蜂鸣器工作。PR未控制信号,当PR为高电平时,多谐振荡器工作;反之,电路停振。

此时可以用复位开关使其清零,当再有脉冲信号时,计数器又开始循环计数。

第四章单元电路设计、参数计算、器件选择

? 光电转换模块

光电转换的电路见图由于发光二极管的工作电压大约在左右,工作电流大约在4mA到10mA左右,并且电源电压为5V,所以R3=()/(4mA~10mA)=250Ω~625Ω,因此选择470的电阻作为发光管的限流电阻。三极管有放大作用,所以集电极的电流较大,所以要加一个阻值较大的电阻作为限流电阻,因此选择了10KΩ电阻。当接通电源的时候,红外发射管发光,红外接收管反向导通,相当于短路,所以A点的电压为低电平,基极电流降低,发射结的电压降低,所以发射结反向截止,根据三极管基极电压与集电极电压反向的特性,所以集电极电压为高电平,当一旦有东西遮在发光管和光敏三极管中间时,红外接收管正向截止,即A点电位为高电平,当之超过三极管的导通电压(一般为硅管为,锗管为左右)时,三极管就会导通,当基极电流继续增加时,三极管会饱和导通,此时三极管相当于工作在开关的闭合状态,发射极相当于直接接地,所以集电极输出为低电平。再经过一个反向器后变为高电平,这样就可以给后面计数器一个上升沿脉冲。使其触发开始工作。

图光电转换电路

? 计数显示模块

4.2.1 数码管

译码:编码的逆过程,即将输入代码“翻译”成特定的输出信号。 译码器:实现译码功能的数字电路。 七段数字显示器原理

按内部连接方式不同,七段数字显示器分为共阴极和共阳极两种

(a ) 管脚排列图; (b) 共阴极接线图; (c) 共阳级接线图

图 数码管内部电路

4.2.2 显示译码器74LS48

图 74LS48的管脚排列图和其逻辑符号

图4.3 A 0 =0时,/ LT =1时,若七段均完好,显示字形是“8”,该输入端常用于检查74LS48显示器的好坏; 当 A 1=1时,译码器方可进行译码显示。 用来动态灭零,当 A 2= 1时, 且A 3 =0, 输入A3A2A1A0=0000时,则

/ I BR =0使数字符的

a b c d e

f g

h

9876

2345

d

c (·)h

a

b c d e f g (·)h

a b c d e f g (·)h

·R

R

A 074LS48Y a A 1A 2

LT I B / Y BR 7

12345

12345678

16151413121110974LS48

GND

V CC Y f Y g Y a Y b Y c Y d Y e

A 2LT (a )

(b )

A 3I BR

6A 1I B / Y BR

I BR A 3A 0Y b Y c Y d Y e Y f Y g

各段熄灭; / LT为灭灯输入/灭灯输出,当 V

CC

=0时不管输入如何, 数码管不显示

数字;为控制低位灭零信号,当A

3=1时, 说明本位处于显示状态;若 A

3

=0, 且低

位为零, 则低位零被熄灭。

图译码显示电路

根据设计要求由于数码管是由发光二极管构成的,所以要在译码器与数码管之间加1K的电阻保护,因为选择的是共阴的数码管,数码管的两个公共端与地端相接。

4.2.3 十进制计数器74LS192

74LS192是双时钟方式的十进制可逆计数器。 CPU为加计数时钟输入端,CPD 为减计数时钟输入端。?LD为预置输入控制端,异步预置。CR为复位输入端,高电平有效,异步清除。?CO为进位输出:1001状态后负脉冲输出, BO为借位输出:0000状态后负脉冲输出。

图 (a )74ls192引脚图 (b )74LS192逻辑符号图

表 74LS192的真值表

工作原理:当LD =1,CR=0时,若时钟脉冲加入到U CP 端,且D CP =1,则计数器在预置数的基础上完成加计数功能,当加计数到9时,CO 端发出进位下跳脉冲;若时钟脉冲加入到D CP 端,且U CP =1,则计数器在预置数的基础上完成减计数功能,当减计数到0时,BO 端发出借位下跳变脉冲。由74LS192组成的一百进制递加计数器如下图,其预置数为N=(1001 1001)8421BCD=(99)。它的计数原理是:只有当低位CO 端发出进位脉冲时,高位计数器才作加计数。当高、低位计数器处于99,且置数端LD =0,计数器完成并行置数,此计数器的置数值为99,当置数到99时可用复位端使其清零,在U CP 端的输入时钟脉冲作用下,计数器再次进入下一循环加计数。

图计数器计数置位部分

声光报警模块?

由555定时器和三极管构成的报警电路如图所示。其中,555构成多谐振荡器,振荡频率 f0=1/(R1+2R2)CLn2=(R1+2R2)C,其输出信号经三极管推动扬声器。PR未控制信号,当PR为高电平时,多谐振荡器工作;反之,电路停振。

图报警工作电路

555电路的工作原理

555电路的内部电路方框图如图所示。它含有两个电压比较器,一个基本RS 触发器,一个放电开关管T ,比较器的参考电压由三只 5K Ω的电阻器构成的分压器提供。它们分别使高电平比较器A 1 的同相输入端和低电平比较器A 2的反相输入端的参考电平为CC V 32和CC V 3

1。A 1与A 2的输出端控制RS 触发器状态和放电管开关状态。当输入信号自6脚,即高电平触发输入并超过参考电平CC V 3

2时,触发器复位,555的输出端3脚输出低电平,同时放电开关管导通;当输入信号自2脚输入并低于CC V 3

1时,触发器置位,555的3脚输出高电平,同时放电开关管截止。D R 是复位端(4脚),当D R =0,555输出低电平。平时D R 端开路或接V CC ,V C 是控制电压端(5脚),平时输出CC V 3

2作为比较器A 1 的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个μf 的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电通路。

图 555电路的内部电路方框图

本电路由555定时器和外接元件R 1、R 2、C 构成多谐振荡器,脚2与脚6直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号,利用电源通过R 1、R 2向C 充电,以及C 通过R 2向放电端 C t 放电,使电路产生振荡。电容C 在CC V 3

1和CC V 3

2之间充电和放电,其波形如图(b)所示。输出信号的时间参数是

T =t w1+t w2, t w1=(R 1+R 2)C , t w2=2C

555电路要求R 1 与R 2 均应大于或等于1K Ω ,但R 1+R 2应小于或等于Ω。

图 多谐振荡器结构及工作电压波形

第五章实验、调试及测试结果与分析·

调试

电路板焊接好后,先不能急着通电,先要检查硬件线路,其步骤如下:

(1)检查连线是否正确

根据电路原理图连线,按一定顺序一一检查安装好线路,这样可以比较容易查出错线或少线。为了防止出错,对于已查过的线路在电路图上做出标记。

(2)元器件的安装情况

检查元器件引脚之间有无短路;连接处有无接触不良,有无虚焊,假焊情况;二极管的极性和集成元件的引脚是否连接有误。

这样检查无误后就开始通电,通电后发现十位数码管中e极二极管不亮,找出其连接译码器的15脚,发现是虚焊了,重新焊了下,通上电数码管正常工作。

当我们把遮光物放在对管再抽出的过程中,数码管没变化,还是显示00,通过用数字万用表检测后,发现红外接收管内阻很大,已经烧坏了,可能是在焊接的过程中,温度过高散热不当所致,后又换了个红外接收管。当再次通电后,在用同样的方法发现数码管还是不计数,我们用万用表检查了以下,发现当没有遮住红外管时,红外接收管的电压为(本应该在左右),当遮住了红外管后,电压变为,总结下低电平电压过高,不能使反向器反向,也就不能使计数器计数,我们把红外管对折后重新焊接,在通上电,这次把电源电压改小了,改为(原来是),结果板子正常工作了,能实现00-99计数了,而且比较稳定。

结果与分析

通过调试以后,电路板可以按照预定的要求实现功能。刚开始通电,数码管显示00,当有遮光物挡住又拔出时,计数器进行加1,这样能完成00—99计数,通过检测我们发现红外管需要对折焊接后才会更加灵敏,这样才能使脉冲信号更加稳定,计数更准确,还有板子的工作电压要调好,不能过高也不能太低,要让板子能正常工作即可,在焊接时一定注意温度控制好,可以先把一些敏感元件引脚留长些,这样可以方便散热,以免烧坏元件。

总结

为期一周的电子课题设计终于落下帷幕了,我和我的搭档经过这一周的辛苦努力,终于得到了收获,完成了我们的电子设计---光电计数器。因为以前动手很少,对做电子线路板经验不足,所以刚开始有点不知所措,但我们没有放弃这次难得的动手机会,通过查阅相关资料,把原理图画好,经过仿真确定其可行性,然后就开始焊接电路板,在焊接电路板的过程中,我们从中发现了许多问题,也遇到了不少难题,不过我们没有退却,在指导老师的帮助下,把问题给逐一解决了,而且在动手操作的过程中,也领悟到了许多焊接技巧,方法,增强了实践动手能力,当我和搭档把电路板成功焊接完成后,非常兴奋,很有成就感,更增加了我们以后动手操作的信心,在后期调试过程中,通过数字万用表,示波器等相关测量工具获得了计数器的一些工作参数,在结合实验现象和结果分析,更加懂得了该光电计数器的工作原理。

感谢学校给我们提供了这次宝贵的动手实践机会,通过动手操作,我们学到了许多书本上没有的知识,而且更加巩固了所学知识,真正做到了所学即所用。

经过这次电子设计,我从中收获了很多,更加懂得了理论联系实际的重要性,让我们对电子设计这门科目有了更深一层次的了解。我相信我能在以后的电子设计中做的更好,会有更多新的发现。

参考文献

[1] 梁宗善. 电子技术基础与课程设计.华东理工大学出版社. 1994.

[2] 郁汉琪. 数字电子技术实验及课题设计.高等教育出版社.

[3] 梁廷贵、王裕琛.译码器编码器数据选择器电子开关电源分册.科学技术文献出版社.

[4] 杨志忠、卫桦林. 数字电子技术. 高等教育出版社.

[5] 杜虎林.数字万用表使用测量技法与故障检修.人民邮电出版社.

[6] 吴运昌.模拟集成电路原理与应用.华南理工大学出版社.

[7] 黄智伟. 全国大学生电子设计竞赛技能训练.北京航空航天大学出版社.

[8] 刘守义.数字电子技术基础.清华大学出版社.

附录1

电路原理总图

附录2 电路元器清单

光电计数器(数电)

(理工科类) Ⅰ、课程设计(报告)题目: 对生产线产品计数的光电计数器设计 Ⅱ、课程设计(论文)工作内容 一、课程设计目的 1、通过电子技术基础(模 电、数电)课程的学习,使学生在掌握基本理论知识的基础上,学会常见电子集成器件的使用。2、通过设计一个模数结合 的小型电子电路系统,使学生了解电子电路设计的方法、步骤;学会元器件的选用;学会用软件仿真验证设计方案的正确性;培养综合运用知识和独立开展实践创新的能力。 3、通过搭建调试电路,进 一步熟悉相关仪器设备的使用。 4、通过绘制电路图,熟悉 Protel的使用,扩充专业知识技能。 5、规范化训练学生撰写技 术研究报告,提高书面表达能力。 二、课程设计任务与要求 1、基本部分: 1)由光耦实现产品监测; 2)由计数器对脉冲信号计数,计数结果经LED显示; 3)计数范围0~99,电路具备手动清零功能。 4)根据要求设计电路,画出原理图,用EWB仿真,验证设计方案;

5)学习使用Protel,画出系统的PCB图。 2、发挥部分: 1)计数结果要求实现十位数的动态“零消隐”,即:当计数结果不超过10时,十位数的那个数码管无显示; 2)报告第三部分给出其他设计方案,画出仿真实现的电路图,并与参考方案对比分析。 三、课程设计考核 平时20%;验收40%;报告40% 摘要 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理的基础技术,是获取信 息和处理加工信息的手段,无法获取信息则无法运用信息。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光

51单片机的光电计数器电路设计原理

51单片机的光电计数器电路设计原理 1.前言 21世纪是信息时代,获取信息,处理信息,运用信息。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。 传感与检测技术是一门知识面广、综合程度高、实用性很强的专业课程。它从传感器的基本理论入手,着重讲叙传感器的结构与感测原理,传感器是一个二端口的装置,不同的传感器输入-输出特性不同,同一传感器适应不同的被测信号呈现的特性也有所不同。尤其当被测信号为静态信号时两种状态下,传感器的输入-输出特性完全不同。感测技术在许多新技术、新器件里都有应用,在课程安排上,以信息的传感、转换、处理为核心,从基本物理概念入手,阐述热工量、机械量、几何量等参数的测量原理及方法。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。 本课题利用AT89C51单片机,探讨一种简易光电计数器的设计思路。 2光电计数器的系统设计 2.1系统硬件设计 2.1.1方案选择 由于单片机所具有的特性,它特别适用于各种智能仪器仪表,家电等领域中,可以减少硬件以减轻仪表的重量,便于携带和使用,同时也可能低存本,提高性能价格之比。

光电计数器实验报告

光电计数器实验报告 学生姓名李志 学号081244115 专业名称光信息科学与技术 指导教师易煦农 时间日期2011-10-19 摘要 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理 的基础技术,是获取信 息和处理加工信息的手段,无法获取信息则无法运用信息。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计

数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器。采用光电传感器制作的光电式电子计数器。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。 【关键词】光电效应光电传感器光电计数器 ABSTRACT The 21st century is the age of information, it is the access to information, treatment information, use of the information age. Sensing and detection technology is important because it is the access to information and the information necessary to deal with the underlying technology, is access to information and means of processing information, unable to get information you won't be able to use information. Photoelectric sensor is a light signal into an electric signal of the sensor. It is the theoretical basis of the photoelectric effect. These effects can be broadly divided into three categories. The first type is outside of the photoelectric effect, namely, in daylight, can make the tungsten surface. Use this effect caused by device with vacuum photocell, photomultiplier tubes, etc. The second category is the photoelectric effect, i.e., in the light, can make the electrical resistivity of the material change. Such devices include various types of photosensitive semiconductor. The third category is photo voltaic effect, in the light, the objects within the EMF EMF, this is called light-induced electromotive force. This class of

计数器的设计实验报告

计数器的设计实验报告 篇一:计数器实验报告 实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是

CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5- 9-1 CC40192引脚排列及逻辑符号 图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3 —计数器输入端 Q0、Q1、Q2、Q3 —数据输出端CR—清除端 CC40192的功能如表5-9-1,说明如下:表5-9-1 当清除端CR为高电平“1”时,计数

器直接清零;CR置低电平则执行其它功能。当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421 码十进制加、减计数器的状态转换表。加法计数表5-9- 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位

最新光电计数器的设计

光电计数器的设计

北京交通大学 海滨学院 课程设计题目:光电计数器的设计 专业:光电信息工程班级:光电1101 学号: 11141006 姓名:陈国营

摘要 光电计数器的设计可以对某项物件进行计数,计数物件速度可慢,可快,在实际生产生活中具有广泛的应用,对通过的物体进行计数,在生产流水线包装数量控制等领域的应用,既能节省劳动力有能高效地完成任务,其次它也是光电技术的基础。 在光电计数部分我们考虑到脉冲信号的稳定度、方便检测是否能够产生脉冲信号,因此在电压比较器和NE555之间我们选择了NE555,又要利用遮断式红外控制原理对通过的物件计数,为了感应良好,我们使红外发光管与光电接收管相对安放,同时为避免自然光线干扰引起的误计数,同时因实验室条件有限,在光电计数器工作时尽可能的让房间里没有自然光照射进来。本计数器可实现0~99的计数显示。 每当物件通过一次,红外光被遮挡一次,光电接收管的输出电压发生一次变化,这个变化的电压信号通过放大和处理后,形成计数脉冲,去触发一个十进制计数器,便可实现对物件的计数统计。 关键字:计数脉冲感应光电

本课程设计主要是对于电子信息类专业的学生两年多来的专业知识学习的一个考验以及应用,也是相关专业的同学们开启理论通向实践之门的金钥匙,具有十分重要的意义,既能锻炼同学们的动手操作能力,又能反映出同学们对于电子设备的熟练程度及对简单典型电路原理应用和了解。 本课程设计为光电计数器的设计。光电技术是一门得到迅猛发展的学科,已经渗透到许多的相关的科学领域,应用非常的广泛,而具有代表性的是半导体激光器的广泛应用,具有高量子效率的负电子亲和势。光电阴极的光电倍增管和第三代微光像增强器件的实用化,超大规模的CCD面阵的固体摄像器件已在工业和民用领域都得到了广泛应用,在热成像光电中的红外焦平面技术的应用等等。 本文所讨论的光电计数器是一种比较初级的利用光电感应信号发出的脉冲进行计数的一种简单光电系统。

传感器测速实验报告(第一组)

传感器测速实验报告 院系: 班级: 、 小组: 组员: 日期:2013年4月20日

实验二十霍尔转速传感器测速实验 一、实验目的 了解霍尔转速传感器的应用。 二、基本原理 利用霍尔效应表达式:U H=K H IB,当被测圆盘上装有N只磁性体时,圆盘每转一周磁场就变化N次。每转一周霍尔电势就同频率相应变化,输出电势通过放大、整形和计数电路就可以测量被测旋转物的转速。 本实验采用3144E开关型霍尔传感器,当转盘上的磁钢转到传感器正下方时,传感器输出低电平,反之输出高电平 三、需用器件与单元 霍尔转速传感器、直流电源+5V,转动源2~24V、转动源电源、转速测量部分。 四、实验步骤 1、根据下图所示,将霍尔转速传感器装于转动源的传感器调节支架上,调节探头对准转盘内的磁钢。 图 9-1 霍尔转速传感器安装示意图 2、将+15V直流电源加于霍尔转速器的电源输入端,红(+)、黑( ),不能接错。 3、将霍尔传感器的输出端插入数显单元F,用来测它的转速。 4、将转速调解中的转速电源引到转动源的电源插孔。 5、将数显表上的转速/频率表波段开关拨到转速档,此时数显表指示电机的转速。 6、调节电压使转速变化,观察数显表转速显示的变化,并记录此刻的转速值。

五、实验结果分析与处理 1、记录频率计输出频率数值如下表所示: 电压(V) 4 5 8 10 15 20 转速(转/分)0 544 930 1245 1810 2264 由以上数据可得:电压的值越大,电机的转速就越快。 六、思考题 1、利用霍尔元件测转速,在测量上是否有所限制? 答:有,测量速度不能过慢,因为磁感应强度发生变化的周期过长,大于读取脉冲信号的电路的工作周期,就会导致计数错误。 2、本实验装置上用了十二只磁钢,能否只用一只磁钢? 答:如果霍尔是单极的,可以只用一只磁钢,但可靠性和精度会差一些;如果霍尔是双极的,那么必须要有一组分别为n/s极的磁钢去开启关断它,那么至少要两只磁钢。

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数字电路实验-光电计数器

课程综合设计 课程名称:《数字电路实验》实验名称:《光电计数器》 学院:应用科技学院 专业:电子信息工程 年级:2012级 学号:____________ 姓名:____________

设计意义及实现功能: 工厂生产线或某些设备上(如打印机)常装有自动计数器,以便计算产量或为生产过程自动化合计算机管理系统提供数据,计数器种类很多,光电计数器是常见的一种。 设计并制作一个光电计数器,要求如下: (1)光源采用聚焦白炽灯,电压为6.3V,自行选择光敏器件。当有光照到光敏器件上时,计数器不计数,当光照有亮突变到暗的一瞬间,产生一个脉冲沿,对这个脉冲沿进行技术,光照由暗突变到亮不计数。 (2) 计数器范围:00~99。用两只LED数码管作显示组件,可显示00~99。 (3)定数控制功能:当需要定数时,事先预置一个定数值,显示器同时显示这个定数值。每光照一次,计数器减“1”,当定数值减至:“00”,发出声、光报警。 (4)当计数器作“累加”功能时,需先清零。计数器从“00”累加到“99”。当光照次数大于99次时,发出声,光报警。 实验原理 CD4511引脚图及功能 CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS 电路能提供较大的拉电流。可直接驱动LED显示器。器中的字形消隐。 其功能介绍如下: BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。 LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。 LE:锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。 A1、A2、A3、A4、为8421BCD码输入端。 a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。 CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。 1. CD4511的引脚 CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱动LED。其引脚图如3-2所示。 各引脚的名称:其中7、1、2、6分别表示A、B、C、D;5、4、3分别表示LE、BI、LT;13、12、11、10、9、15、14分别表示 a、b、c、d、e、f、g。左

毕业论文光电计数器设计及制作

毕业论文光电计数器设 计及制作 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

目录

摘要 数字式计数器因为其有使用方便,计数精确,显示直观等优点,被广泛应用于各行业生产线上的物件计数。本论文利用光电二极管接收激光光源发射的光信号,并通过数字计数与显示电路设计了一种光电计数器。当物件从光电二极管与激光器之间通过时会对光束进行遮挡,光电二极管的电压发生变化。该信号经过放大和处理后,经计数电路和LED数码管显示计数的数值。该光电计数器可以将机械或者人工的计数方式转变为电子自动计数,工业实用性很强。 关键词:光电二极管,激光器,计数器, LED数码管 Abstract As the digital counter has advantages in convenient using, accurate counting, direct display , it is widely used in industry production line for counting the paper designed a photoelectric counter,the photoelectric receivers sent from the laser,and then through the digital count and display objects cross the middle of the laser ,the beam will be kept out, photoelectric receiver's voltage would have a voltage signal will be amplified and processed, then input it to the count circuit, and then the number can be displayed on the LED digital display photoelectric counter can change mechanical counting or artificial counting into electronic automatic counting, it has strong industrial usability. Key Words:photodiode,,laser,counter,LED digital tube 引言 随着自动化技术的高速发展,工业上的生产越来越趋向于自动化。在流水生产线 中,自动化的计数装置已经普及。采用自动化计数不仅可以提高生产计数的效率,还 可以提高计数的准确性。对于工业生产的现代化具有很大的推进作用。生产自动化、 设备数字化、机电一体化不断发展,工业中对光电计数器的需求量也逐渐在增大,因 此,设计光电计数器是十分具有现实意义的。 光电技术是一门以光电子学为基础,将光学技术、现代微电子技术、精密机械及 计算机技术紧密结合,成为获取光信息或借助光提取其他信息的重要手段的课程。光 电技术在现代科技、经济、军事、文化、医学等领域发挥着极其重要的作用,以此为 支撑的光电子产业是当今世界争相发展的支柱产业,是竞争激烈、发展最快的信息技

气垫导轨实验报告

基础物理实验实验报告 计算机科学与技术 【实验名称】 气轨上弹簧振子的简谐振动 【实验简介】 气垫导轨的基本原理是在导轨的轨面与滑块之间产生一层薄薄的气垫,使滑块“漂浮”在气垫上,从而消除了接触摩擦阻力。虽然仍然存在着空气的粘滞阻力,但由于它极小,可以忽略不计,所以滑块的运动几乎可以视为无摩擦运动。由于滑块作近似的无摩擦运动,再加上气垫导轨与电脑计数器配套使用,时间的测量可以精确到0.01ms(十万分之一秒),这样就使气垫导轨上的实验精度大大提高,相对误差小,重复性好。利用气垫导轨装置可以做很多力学实验,如测量物体的速度,验证牛顿第一定律;测量物体的加速度,验证牛顿第二定律;测量重力加速度;研究动量守恒定律;研究机械能守恒定律;研究简谐振动、阻尼振动等。本实验采用气垫导轨研究弹簧振子的振动。 【实验目的】 1. 观察简谐振动现象,测定简谐振动的周期。 2. 求弹簧的倔强系数和有效质量。 3. 观察简谐振动的运动学特征。 4. 验证机械能守恒定律。 1

【实验仪器与用具】 气垫导轨、滑块、附加砝码、弹簧、U 型挡光片、平板挡光片、数字毫秒计、天平等。 【实验内容】 1. 学会利用光电计数器测速度、加速度和周期的使用方法。 2. 调节气垫导轨至水平状态,通过测量任意两点的速度变化,验证气垫导轨是否处于水平状态。 3. 测量弹簧振子的振动周期并考察振动周期和振幅的关系。滑块的振幅 A 分别取 10.0, 20.0, 30.0, 40.0cm 时,测量其相应振动周期。分析和讨论实验结果可得出什么结论?(若滑块做简 谐振动,应该有怎么样的实验结果?) 4. 研究振动周期和振子质量之间的关系。在滑块上加骑码(铁片)。对一个确定的振幅(如取A=40.0cm)每增加一个骑码测量一组 T。(骑码不能加太多,以阻尼不明显为限。) 作 T2-m 的 图,如果 T 与 m 的关系式为T2= 42m1+m0,则 T2-m 的图应为一条直线,其斜率为,截距为。 k 用最小二乘法做直线拟合,求出 k 和 m0。 5. 研究速度和位移的关系。在滑块上装上 U 型挡光片,可测量速度。作 v2-x2 的图,看改图是否为一条直线,并进行直线拟合,看斜率是否为,截距是否为,其中,T 可测出。 6. 研究振动系统的机械能是否守恒。固定振幅(如取 A=40.0cm),测出不同 x 处的滑块速度,由此算出振动过程中经过每一个 x 处的动能和势能,并对各 x 处的机械能进行比较,得出结论。 7. 改变弹簧振子的振幅 A,测相应的V max,由V max2A2关系求 k,与实验内容 4 的结果进行 比较。 8. 固定振幅(如取 A=40.0cm),测0、A4、A2、34A处的加速度。 【数据处理】 1. 实验仪器的调试 多次测量滑块从左到右和从又到左做运动经过两个光电门的速度差并多次调平,最终将经过两 个光电门的速度差控制在了 0.5% 以内。 2

基于单片机的计数器设计 (1)

百度文库- 让每个人平等地提升自我! - 1 - 湖南科技大学 单片机课程设计 题目基于单片机的计数器设计姓名李建雄 学院机电工程学院 专业测控技术与仪器 学号09030303 指导教师戴巨川 成绩 二〇一二年六月二日

百度文库- 让每个人平等地提升自我! - 2 - 摘要 本设计是根据我们所学习的单片机课程,按照课程要求进行的课程检验。单片 机技术是一个不可或缺的技术,尤其是对于我们测控技术与仪器专业来说它是我们必须要掌握的技能之一,使我们未来工作和生活的根本。现在的社会是一个信息科技高速发展的社会,也是一个电子技术和微机计算机迅速发展的时代,单片机的档次和水平在不断的提高,其应用的领域和范围也越来越广,成为现代电子系统中最重要的智能化核心部分。 随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,随之而来的竞争也越来越激烈。过硬的技术也成为众多生产厂商竞争的焦点之一。厂商为了在竞争中处于不败之地,从而不断地改进技术,增加产品的种类。 现计数器的种类以增加到:电磁计数器、电子计数器、机械计数器(拉动机械计数器、转动机械计数器、按动机械计数器、测长机械计数器)、液晶计数器等。 计数器的应用范围也遍布印刷、纺织、印染、针织、电缆、电讯、军工、轻工、机械、开关、断路器、矿山、实行多班制的纺织行业的织布机、织带机、制线、制带、造纸、制革、薄膜、高压开关电器产品、试验设备,印刷设备、短路器、医疗、纺织、机械、仓库和码头的货运、行人及车辆过往的数量计数、冶金、食品、国防、包装、配料、石油、化工、发电、机床、仪表、自动化控制等行业。

百度文库- 让每个人平等地提升自我! - 3 - 目录 2.2设计原理 (6) 数码管的介绍 ............................................................................................................................... - 11 -3.3电路仿真. (11)

红外计数器实验报告

红外计数器设计报告一:任务分析。 二:设计方案。 三:电路设计。 四:焊接与调试。 五:实验结果和分析。 六:实验感想。 参考文献 元件件清单

一:任务分析 本电路的实验指导思想是利用红外发光管发射红外线,红外接收管接受此红外线并将其放大,整流形成高电平信号。当有人或物体挡住红外光时,接收管没有接收到红外信号,运算放大器将输出低电平;当移开物体时,运算放大器输出高电平,同时计数器计数这个上升沿脉冲,并经译码器驱动电路是数码管显示数值。 这样就可以统计红外对管物体触发的次数。范围在0~99之间计数。 二:设计方案 1.设计原理 该计数器系统总体设计方案是用光电感应器实现对触发感应红外信号数量的采集,将信号传送到防干扰的迟滞比较器,共经过两级比较器,传输信号脉冲,通过74LS190计数器进行计数,计数范围是0~99,通过 74LS248七段译码器进行译码,输出信号给LED数码管进行显示。其中,个位计数器的进位标志位接到十位计数器的计数控制端CLK控制十位计数器工作计数,因为74LS190是十进制计数器,计数的结果是BCD码0000~1001,经过译码器数码管后显示的十进制00~99。实验原理是,每当光电传感器接收到信号,信号在通过两级比较器后,就会有一个上升沿信号作为时钟信号,控制计数器工作,同时计数开始,每触发一次到移开形成一个上升沿脉冲,并且只能计数一次。 2.红外对管计数器系统简介

(1)红外计数器系统的组成 1.74LS190 (1)个位计数器 时钟脉冲给的是比较器的输出信号,计数器自上电起一直处于初始00状态,每当有物体经过光电对管之间时,计数器的CP 端就接收到上升沿信号,开始计数。进位输出端接到十位计数器的时钟脉冲端,四个输出引脚的信号作为七段译码器的输入信号。 (2)十位计数器 时钟脉冲给的是比较器的输出信号,计数器的CP端就接收到上升沿信号时,还不能进行计数,只有当个位的计数溢出时时钟脉冲CLK端有上升沿触发才有效,进行计数。

实验五计数器的设计实验报告

实验五计数器的设计——实验报告 邱兆丰 15331260 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 1、实验箱、万用表、示波器、 2、74LS73,74LS00,74LS08,74LS20 三、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 2.集成J-K触发器74LS73 ⑴符号: 图1 J-K触发器符号

⑵功能: 表1 J-K触发器功能表 ⑶状态转换图: 图2 J-K触发器状态转换图

⑷特性方程: ⑸注意事项: ①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。 ①触发器的两个输出负载不能过分悬殊,否则会出现误翻。 ② J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的清零端子。3.时序电路的设计步骤 内容见实验预习。 四、实验内容 1.用JK触发器设计一个16进制异步计数器,用逻辑分析仪观察CP和各输出波形。2.用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出波形。3.设计一个仿74LS194 4.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为:5.考虑增加一个控制变量D,当D=0时,计数器按自定义内容运行,当D=1时,反方向运行 五、实验设计及数据与处理 实验一

16进制异步计数器 设计原理:除最低级外,每一级触发器用上一级触发器的输出作时钟输入,JK都接HIGH,使得低一级的触发器从1变0时高一级触发器恰好接收下降沿信号实现输出翻转。实验二 16进制同步计数器 设计原理:除最低级外,每一级的JK输入都为所有低级的输出的“与”运算结果实验三 仿74LS194 设计原理:前两个开关作选择端输入,下面四个开关模仿预置数输入,再下面两个开关模仿左移、右移的输入,最后一个开关模仿清零输入。四个触发器用同一时钟输入作CLK输入。用2个非门与三个与门做成了一个简单译码器。对于每一个触发器,JK输入总为一对相反值,即总是让输入值作为输出值输入。对于每一个输入,当模式“重置”输出为1时,其与预置值结果即触发器输入;当模式“右移”、“左移”输出为1时,其值为上一位或下一位对应值;当各模式输出均为0时各触发器输入为0,使输出为0。 实验四 设计原理: 在12进制同步计数器中,输出的状态只由前一周期的状态决定,而与外来输入无关,因此目标电路为Moore型。而数字电路只有0和1两种状态,因此目标电路要表达12种状态需

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

光电计数器的设计

景德镇陶瓷学院 电子电路CAD课程设计课题名称光敏计数器 所在院系机电学院 班级13自动化2班 学号201310320210 姓名董儒诚 指导老师刘蜀阳 时间2015年12月30日 word . .

光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能 目录 前言 (1) 第一章设计要求 (2) 1.1 基本要求 (2) 1.2 提高要求 (2) word . .

第二章系统组成及方案设计 (3) 2.1 系统组成 (3) 2.1 方案一 (3) 2.2 方案二 (4) 2.3 方案比较与选择 (4) 第三章单元电路的工作原理 (5) 3.1 光电转换电路 (5) 3.2 计数电路 (5) 3.3 报警电路 (7) 3.4 电路仿真 (9) 第四章组装及测试结果与分析 (10) 4.1 焊接是特别要注意的几点: (10) 4.2 测试 (10) 4.3 结果 (11) 第五章总结 (12) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15) word . .

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。 word . .

NaI(Tl)闪烁谱仪实验报告材料

实验5:NaI(Tl)闪烁谱仪 实验目的 1. 了解谱仪的工作原理及其使用。 2. 学习分析实验测得的137Cs γ谱之谱形。 3. 测定谱仪的能量分辨率及线性。 容 1. 调整谱仪参量,选择并固定最佳工作条件。 2. 测量137Cs 、65Zn 、60Co 等标准源之γ能谱,确定谱仪的能量分辨率、刻度 能量线性并对137Cs γ谱进行谱形分析。 3. 测量未知γ源的能谱,并确定各条γ射线的能量。 原理 )1(T NaI 闪烁谱仪由)1(T NaI 闪烁体、 光电倍增管、射极输出器和高压电源以及线性脉冲放大器、单道脉冲幅度分析器(或多道分析器)、定标器等电子学设备组成。图1为)1(T NaI 闪烁谱仪装置的示意图。此种谱仪既能对辐射强度进行测量又可作辐射能量的分析,同时具有对 γ射线探测效率高(比G-M 计数器高几十倍)和分辨时 间短的优点,是目前广泛使用的一种辐射探测装置。

当γ射线入射至闪烁体时,发生三种基本相互作用过程,见表1第一行所示:(1)光电效应;(2)康普顿散射;(3)电子对效应。前两种过程中产生电子,后一过程出现正、负电子对。这些次级电子获得动能见表1第二行所示,次级电子将能量消耗在闪烁体中,使闪烁体中原子电离、激发而后产生荧光。光电倍增管的光阴极将收集到的这些光子转换成光电子,光电子再在光电倍增管中倍增,最后经过倍增的电子在管子阳极上收集起来,并通过阳极负载电阻形成电压脉冲信号。γ射线与物质的三种作用所产生的次级电子能量各不相同,因此对于一条单能量的γ射线,闪烁探测器输出的次级电子脉冲幅度仍有一个很宽的分布。分布形状决定于三种相互作用的贡献。 表1 γ射线在NaI(Tl)闪烁体中相互作用的基本过程

光电计数器的设计

光电计数器的设计 The Standardization Office was revised on the afternoon of December 13, 2020

景德镇陶瓷学院 电子电路CAD课程设计 课题名称光敏计数器 所在院系机电学院 班级 13自动化2班 学号 0210 姓名董儒诚 指导老师刘蜀阳 时间 2015年12月30日 光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能

目录 前言 (1) 第一章设计要求 (2) 基本要求 (2) 提高要求 (2) 第二章系统组成及方案设计 (3) 系统组成 (3) 方案一 (3) 方案二 (4) 方案比较与选择 (5) 第三章单元电路的工作原理 (6) 光电转换电路 (6) 计数电路 (6) 报警电路 (8) 电路仿真 (9)

第四章组装及测试结果与分析 (10) 焊接是特别要注意的几点: (10) 测试 (10) 结果 (10) 第五章总结 (11) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15)

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。

红外光电计数器实验报告(DOC)

信息与电气工程学院 课程设计说明书(2015 /2016 学年第1 学期) 课程名称:小型数据设计 题目:红外线计数器 专业班级:计算机1401 学生姓名:何亚茹赵君王中昆 学号:140210122 140210107 140210121 指导教师:生龙 设计周数:二周 设计成绩: 2016年01月08日

目录 1 程序设计 (1) 2 课程设的主要内容 (1) 2.1设计的要求.............. . (1) 2.2创新方案及原理分析 (1) 2.3方案论证与选择 (2) 2.4软件的设计 (3) 3主要芯片设计 (4) 3.1介绍 (4) 3.2 51 单片机的特点 (5) 3.3数码管 (7) 4系统设计 (8) 4.1单片机最小设计系统 (8) 4.2红外线检测电路 (9) 4.3计数显示部分 (10) 4.4蜂鸣器报警电路 (10) 4.5按键控制电路 (11) 5 红外计数器程序设计 (11) 5.1主程序设计 (11) 5.2子程序设计 (13) 6总结 (15) 7参考文献 (16)

1、程设计目的 课利用AT89C51单片机来制作一个手动计数器。通过具体的项目设计包括确定控制任务、系统总体方案设计、硬件系统设计、控制程序的设计等,以便掌握单片机系统设计的总体思路和方法,掌握基于单片机控制的电子产品开发的技术方法,培养个人的创新意识和动手能力。 2、课程设计的主要内容 2.1设计的要求 1.利用AT89C51单片机来制作一个红外线计数器。有物体经过红外对管时计数一次。计数的范围是0~99, 计数满时,又从零开始计数。 2.整个系统有较强的抗干扰能力,具有报警能力。 3.将计数值准确显示出来。 2.2创新方案及原理分析 总体电路是由AT89C51单片机系统、红外光电管电路、蜂鸣器报警电路、数码管显示部分、复位电路部分组成,其结构如图2.1所示 图 2.1 整体方框图 红外传感器感受到外界信息时,产生高低电平,通过软件程序设置单片机内部寄存器,当传感器的高低脉冲被单片机接收到时,单片机产生中断,中断产生后进入中断服务程序,通过设置中断服务程序,进行计数。并通过P0 口将计数信息传送至数码管,数码管显示计数的个数。当电路断电后重新启动计数器时,系统自动复位(上电自动复位),以00开始重新计数。

相关文档
最新文档