基于数字电路的电子秒表课程设计教材

基于数字电路的电子秒表课程设计教材
基于数字电路的电子秒表课程设计教材

基于数字电路电子秒表课程设计

摘要

电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。

关键词:计时精度计数器显示器

Abstract

Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter.

Keyword:Timing accuracy counter display

目录

一、设计任务与要求 (3)

二、方案设计与论证 (3)

三、单元电路设计与参数计算 (4)

1.信号发生器单元电路 (4)

2.时钟分频计数单元电路 (7)

3.显示及译码单元电路 (12)

4.控制电路 (14)

四、总原理图及元器件清单 (15)

五、结论与心得 (18)

六、参考文献 (19)

一、设计任务与要求

用74系列数字器件设计一个电子秒表,要求:

1.以0.01秒为最小单位进行显示。

2.秒表可显示0.01秒到60分钟的量程。

3.该秒表具有清零、开始计时、停止计时功能,并能防抖动。

二、方案设计与论证

电子秒表实际上是一个频率(100HZ)进行计数的计数电路。由于秒表计数的需要,故要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时需要一个分频电路把100kHZ分成100HZ的时间信号达到准确稳定。通常使用石英晶体振荡器电路构成数字钟。数字电子钟的总体图如下图1所示。由图可见,数字电子钟由以下几部分组成:555振荡器和分频器组成的秒脉冲发生器;秒表控制开关;一百进制秒、六十进制分计数器和六十进制秒计数器;以及秒、分的译码显示部分等

图1 总体设计方案框图

图1中,各单元电路的工作原理图下:

(1) 信号发生器:选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶振荡器精度很高,一般都需要多级分频。本次设计选用555定时器。

(2)显示电路:电子秒表,需要显示数字,根据设计要求,要用数码管来做显示器。题目要求最大记数值为59分59.99秒,则需要一个8段数码管作为秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。

(3)计数器:秒表核心部分——计数器,此次选择74LS160计数器。它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。计数脉冲是由555

定时器构成的多谐振荡器,产生100赫兹脉冲。如果精度要求高,也可采用石英振荡器。

(4)译码器:在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译码器。如果选择7447,则用来驱动共阴极数码管;如果选择7448,则用来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也可以借鉴简易数字频率计中的四位数码管来显示后四位,再用两个数码管显示分钟的两位。本次设计中选择前一种方法。

(5)控制电路: 用集成与非门构成基本RS触发器,属低电平直接触发的触发器,有直接置位、复位、暂停的功能,并能防抖动。

三、单元电路设计与参数计算

本次课设中,我主要承担了信号发生器、计数器等单元电路的设计及仿真,以及PCB板的设计等任务,先将其内容详细介绍如下:

1.信号发生器单元电路

1.1用555定时器构成方波发生器

(1)555定时器引脚排列及功能表

图2 555定时器引脚排列

1脚:外接电源负端V SS或接地,一般情况下接地。

8脚:外接电源V CC,双极型时基电路V CC的范围是4.5 ~ 16V,CMOS型时基电路V CC的范围为3 ~ 18V。一般用5V。

3脚:输出端V o

2脚:T L低触发端

6脚:TH高触发端

4脚:D

R是直接清零端。当D

R端接低电平,则时基电路不工作,此时不论T L、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:V C为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

(2)用555定时器构成方波发生器电路如下图所示。其中

T1=(R1+R2)C1ln2 为充电时间

T2=R1C1ln2 为放电时间

T=T1+T2=(R2+2R1)C1ln2 为脉冲周期

F=1/T 为振荡频率

经过计算并实际调整,方案为R2=10K,R1=100K, c1=100纳法。在实践中,如果用示波器观察到频率不正确,可调整R2来改变频率,减小误差。

图3 555定时器构成方波发生器muitisim仿真电路

调节R2使得多谐振荡器的输出为100Hz时钟脉冲,并接集成芯片74LS00(SA)的2号管脚,而SA的1号管脚则接暂停/继续按钮, 暂停/继续按钮通过高低电平的转换以及74LS00的与逻辑运算实现对时钟脉冲CP的封锁与开通控制,而其他电路不受其影响。74LS00的3号管脚输出接至U1(最低位十进制计数器74LS160)的时钟输入端作为时钟分频计数的基本时钟。

在muitisim中仿真结果为:

图4 仿真结果波形图

2.时钟分频计数单元电路

(1)时钟脉冲分频计数总体部分:首先由十进制模块通过串行计数组成100分频电路,因为74LS160是同步十进制计数器,在Q3~Q0输出端为1001(即9)时,其进位端TC同时由0变为1,设计过程中采用的是置数清零法,而集成芯片74LS160为同步置数,此处如果TC直接接入下一级的时钟输入端,则会发生本位数字为9,而它的高位数字已经进位的现象。要消除这种现象则可以在TC 端与下一级的时钟端之间接入一个非门,使得TC输出反相,在本位输出进位脉冲时,其高位时钟接收到的为时钟的无效边沿(下降沿),而在本位自然清零时,高位才会接收到一有效时钟边沿(上升沿),从而达到正确进位的目的。

而六十进制与下级模块的级连,由于六进制模块在实现过程中已经接入了一个74LS00的与非门,故其输出不必再接非门,而是从该输出端接至高位时钟脉冲端。

集成芯片74LS160,其管脚排列如图所示。

图5 74LS160管脚排列

表2引脚功能如下表所示:

输入输出

MR PE CET CEP CLK P3 P2 P1 P0 Q3 Q2 Q1 Q0 X X X X X X X X 0 0 0 0

0 X X D3 D2 D1 D0 D3 D2 D1 D0

1

1 1 1 1 X X X X 计数

1 1 0 X X X X X X 保持

1 1 X 0 X X X X X 保持

(2)由集成芯片74LS160构成十分频器

74LS160本身即为同步十进制计数器,用以构成十分频器直接使用其进位输出端即可,需要注意的是,在级联过程中,因为74LS160计数过程为上升沿有效,而进位输出时CO端是由0变1,为上升沿,要使计数状态不缺失,需在CO与下一级的连接中串入一个非门。如下图所示:

图6 十分频器电路图

(3)使用芯片74LS160构成6进制计数器

由74LS160组成的六分频电路如下图所示电路,给CLK以点动单脉冲或频率较低的连续脉冲,Q端接发光二极管,观察发光二极管的状态。同时进位输出端接发光二极管,观察并记录现象,看是否为六进制输出。判断其正确性与可靠性,经验证该电路动作可靠,输出正确。

图7 6进制计数器电路图

(4)由十分频电路及六分频电路组成一百分频及六十分频电路

①一百分频电路如下图所示:

两级十分频电路串联,中间通过74LS04的一个非门把进位输出端的时钟信号送入高位的时钟输入端CLK,实现准确的串行进位控制,清零控制端并接,接到复位/开始控制按钮,实现控制。

图8 一百分频电路图

②六十分频电路如下图所示:

一级十分频电路与一级六分频电路串联,形成串行进位计数,其内部级联与一百进制相同,时钟脉冲均为低位的进位端通过一非门接至高位的CLK端。清零控制端并接,接到复位/开始控制按钮,实现控制。

图9 六十分频电路图

③总体计数电路图为:

74LS160各引脚功能如下

图11 74LS160引脚功能表

由上图我们可以得到最终的总体计数器各引脚输出波形图为:

图12 74LS160引脚输出波形图

一百进制和六十进制计数器之间、六十进制和一百进制之间的接法如下图13所示。

图13 总体计数电路图

最终仿真结果为:

以及:

下面对其他单元电路介绍如下:

3.显示及译码单元电路

译码驱动电路(74LS47、74LS48)及七段显示数码管

(1)七段显示数码管实际工作中常采用发光二极管型七段显示数码管来直观地显示数字。它的数字形式如下图所示:

图14 七段显示数码管

数码管的每一段是一个发光二极管,按发光二极管的连接方式可分为共阴极

和共阴极两种。

共阴极二极管的公共端接正电源(高电平),a、b、c、d、e、f、g中接低电平则发光,因此成为低电平有效。共阴极的公共端接地(低电平),a、b、c、d、e、f、g接高电平则发光,即高电平有效。

(2)七段译码驱动电路在七段译码驱动电路中,对应于不同类型数码管有不同的驱动芯片,驱动共阴极数码管用共阴极驱动器(如74LS47),驱动共阴极数码管用共阴极驱动器(如74LS48)。

驱动电路如下图所示(其中74LS48的3\4\5管脚均接高电平):

图15 七段译码驱动电路

在这里我们采用74LS48D和RPACK来构成译码部分,译码器与数码管匹配电路的仿真图如下

图16 译码电路图

4.控制电路

(1)基本RS触发器

用集成与非门构成基本RS触发器,属低电平直接触发的触发器,有直接置位、复位的功能。它的一路输出作为单稳态触发器的输入,另一路输出作为与非门的输入控制信号。

按动按钮开关J1(接地),则门1输出=1;门2输出Q=0,J1复位后Q、状态保持不变。再按动按钮开关J2,则Q由0变为1,门5开启,为计数器启动作好准备。由1变为0,送出负脉冲,启动单稳态触发器工作。基本RS触发器在电子秒表中的职能是启动和停止秒表的工作.

图12 RS触发器电路

(3)单稳态触发器

图13 单稳态触发器电路

用集成与非门构成的微分型单稳态触发器。单稳态触发器的输入触发负脉冲信号Vi由基本RS触发器端提供,输出负脉冲Vo通过非门加到计数器的清除端

。定时元R。静态时,门4应处于截止状态,故电阻R必须小于们的关门电阻R

off

件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的Rp和Cp。

单稳态触发器在电子秒表中的职能是为计数器提供清零信号。

四、总原理图及元器件清单

(1)总体原理图如图14,其中由于译码电路仿真结果不理想,故实际仿真电路没有采用。

图14 总体原理图

(2)总体原理说明:

在仿真软件上接通电源

1.合上复位电路的开关,是电路在工作之前先清零。电子秒表处于复位状态。

2.当第一次按动开关K,产生第一个单脉冲作为基本RS触发器的时钟,使三

状态控制电路的输出端Q1产生高电平,经与非门后,使0.01秒脉冲进入计数器计数,并译码、显示出来。

3.当第二次按动开关K,产生第二个单脉冲使三状态控制电路输出端Q1输出

低电平Q2输出高电平,关闭与非门,使计数停止。

4.当需要复位清零时,按动复位电路的开关K。电路即处于复位状态。

5.再按动控制电路开关K时,电子秒表又进入计数状态。

(3)元器件清单

序号名称

型号参数封装数量备注

1 R1,R3,R4,R5 RPACK_VARIABLE_2*7,180ΩAXIAL0.3 4

2 R7,R8 RPACK_VARIABLE_2*8,180ΩAXIAL0.

3 2

3 U7,U8,U9,U10

,U11,U12,U20

,U21,U22

74LS160D DIP16 9

4 U15A,U24A 74LS00D DIP14 1

5 U14A 74LS04D DIP14 1

6 U25 555_TIME_RATED VR5 1

7 R2 AXIAL0.3 1 100K

8 R6 AXIAL0.3 1 5.1K

9 C5 RAD0.2 2 0.01uF

10 C6 RAD0.2 1uF

(4)PCB图

五、结论与心得

课程设计已经结束,方案和结果都让我们比较满意,完成了所有的设计要求。在这次课题设计中,我进行不断的研究与探索而成的。实现了电路的最简洁,使电路图简单易懂。但是,在这次设计过程中也遇到不少的麻烦,例如在仿真组装调试过程中曾出现以下故障:脉冲发生器(555定时器构成的多谐振荡器)没法实现0.01s的脉冲信号。最后查得原因为参数不对,排除方法是利用f=1.44/R1+2R2)C适当的选取定值电阻、电容的大小并用频率计检测。经过多次反复的检查和排除,最终一一解决,实现了部分功能。

本次课设留给我们组印象最深的是:要设计一个成功的电路,必须要有扎实的知识基础,要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决,同时还需要有耐心和毅力。课设过程中,我们深刻的体会到在设计过程中,要考虑到各个元器件的功能和特性,要翻阅大量资料,参考别人的经验。只有这样才能把自己的电路设计的完美。通过这次对电子秒表的设计与制作,让我们了解了设计电路的程序,也让我们了解了关于数字秒表的原理与设计理念。在

此次的数字秒表设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。

总体来说,通过这次课程设计学习,我们对许多电路都有了大概的了解,也熟练了常用绘图软件的使用,加深了我们对专业的了解,培养了我们学习的兴趣;我们还认识到,虽然“万事开头难”,但只要我们沉着冷静,团结一致,耐心、细心地找到突破口,最终问题是一定能解决的;同时我们还认识到,一个人的力量永远都是有限的,一个人的知识也总是有局限性的,但通过这次课程设计的团队合作,我们深深地体会到了团队的力量,也让我们体会到了团队合作的快乐!

六、参考文献

[1]萧宝瑾《电路CAD讲义》太原理工大学

[2]阎石《数字电子技术基础第五版》:高等教育出版社,1998

[3]孙梅生,李美莺,徐振英. 电子技术基础课程设计[M].北京:高等教育出版社

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

简易数字秒表课程设计

《电子设计自动化》 课程设计报告 学校: 专业: 班级: 姓名: 指导教师: 二00九年12 月16 日

目录 1.课程名称 (2) 2.设计任务和要求 (2) 2.1设计任务 (2) 2.2设计要求 (2) 3.方法选择与论证 (2) 3.1方案选择 (2) 3.2方案论证 (2) 4.方案的原理图 (3) 4.1方案原理图 (4) 4.2总体电路图,布线图以及说明 (5) 4.3单元电路设计及说明 (5) 5.电路调试 (8) 6.收获体会、存在问题和进一步的改进意见 (9)

简易数字秒表 1.课程名称:《简易数字秒表》 2.设计任务和要求 2.1设计任务: 数字式秒表实现简单的计时与显示,按下启动键开始清零计时,按下停止键,计时停止。具有“ 分”(00—59)“秒”( 00—59)数字显示,分辨率为1 秒。计时范围从 00分 00 秒到 59 分 59 秒。 2.2设计要求: 阅读相关科技文献,上网搜索相关资料,设计多种方案设计,予以论证,最终选择最佳方案。 1、将提供的1024hz的方波源转换成1hz 的方波源。 2、秒表的范围为0-59分59秒。 3、最后用数码管显示。 3. 方法选择与论证 3.1.方案选择 在设计之初,我们有两个方案,都实现了59分59秒的结果,不过经过小组成员的讨论,一致选定采用方案二,该方案是在Proteus软件环境下实现的秒表计时功能,就制作上较方案一还是很不错的。 3.2. 方案论证 我们主要采用74LS90芯片和555计时器,74LS90 是二 -- 五十进制计数器,根据进制转换,很好的实现了六进制的功能,参考了各相关书籍及网上的一些资料,我们做好了现在的电路图,经过仿真,我们达到了预期的结果。

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

数字式秒表课程设计

南通大学 《电子技术》课程设计报告 题目数字式秒表 学院(部计算机科学与技术学院 专业计算机科学与技术 学生姓名王骏 6 月2 7 日至7 月1 日共1 周 指导教师(签字)

一.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数 系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示 电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分,它和分频器一起用来 产生0.01秒的脉冲。 二.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 三.方案论证与选择 1. 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。题目要 求最大记数值为99分59.99秒,则需要一个8段数码管作为秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶振荡器精度很高,一般都需要多级分频。 秒表核心部分——计数器,此次选择74LS160计数器。它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 计数脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲。如果精度要求高,也可采用石英振荡器。 在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译码器。如果选择7447,则用来驱动共阳极数码管;如果选择7448,则用来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也可以借鉴简易数字频率计中的四位数码 管来显示后四位,再用两个数码管显示分钟的两位。本次设计中选择前一种方法。(一)控制电路

数字秒表课程设计报告

《电子技术》课程设计 题目:数字秒表设计 专业:电气工程系 班级:本电气自动化126 姓名:黎梓浩学号: 11 指导老师:钟立华 小组成员:曾志辉麦照文黎梓浩成绩:

目录 摘要,关键词,引言3一.设计目的3二.设计总体框图4三.设计原理及说明4四.单元电路设计5五.器件选择9六.设计电路图9七.安装与调试9八.设计心得与体会10 九.参考文献11

十.附录(实物图、原理图)12摘要:本文的数字秒表设计是利用数字电路,实现时、分、秒计时功能的装置。具有较长的使用寿命,因此得到了广泛的应用。 关键词:计时精确计数器显示器 74LS160 引言:在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活中已逐渐崭露头角,大多数电子产品多是有计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 一.设计目的 1.了解数字秒表的主体电路的组成及工作原理; 2.熟悉集成电路及有关电子原件的使用; 3.学习和掌握数字电路中的时钟发生器及计数、译码显示等单元电

路的综合应用; 4.掌握电子电路一般设计方法和设计流程; 5.掌握protel等绘图软件的使用。 二.设计总体框图 三.设计原理及说明 数字秒表具有操作方便、使用简单、计数精准等使用优点,在日常生活中的到了广泛认可和使用。 数字秒表的设计属于中规模集成芯片设计。本设计中CP脉冲采

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

单片机简易秒表课程设计..

单片机课程设计 题目:简易秒表 系部:机电工程系 专业:机电一体化 班级: 0 9 4 班 姓名:张三 学号:2009044056 指导教师:杨富强

目录 一摘要 (1) 二绪论 (2) 2.1单片机的发展 (2) 2.2 MCS-51系列单片机介绍 (4) 三设计任务及要求 (5) 四工作原理 (5) 4.1 七段数码管概述 (5) 4.2 MCS--51的引脚及相关功能 (7) 五简易秒表电路图 (8) 六流程图 (9) 七源程序 (10) 八系统硬件设计 (11) 九总结 (12) 十课程设计心得 (13) 参考文献 (14)

一摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并利用自行制作的单片机最小系统,完成一个简单应用(简易秒表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。

二绪论 当前社会信息化建设在各地蓬勃发展,作为信息发布的终端显示设备,LE D显示屏己经广泛应用于工作和生活的各个方面,主要用于显示文字、图像、动画等。L E D显示屏的应用涉及社会的许多领域,主要包括:金融证券、体育场馆、道路交通、邮政电信、商场购物中心等服务领域的业务宣传及信息显示。L ED 是发光二极管的简称(L ig ht Em it ti ng D io de)。由于它具有亮度高、响应速度快、低电压、功耗小、耐震动、寿命长等优点,使其成为室内外信息显示终端的主要发光器件。LE D显示屏是20世纪90年代出现的新型平板显示器件,由于其亮度高、画面清晰、色彩鲜艳,使它在公众多媒体显示领域一枝独秀,因此市场空间巨大。LE D显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。第二阶段是1995年到1999年,出现了64级、256级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LE D显示屏提升到了一个新的台阶。LE D显示屏控制专用大规模集成电路芯片也在此时由国内企业开发出来并得以应用。第三阶段从1999年开始,红、纯绿、纯蓝LE D大量涌入中国,同时国内企业进行了深入的研发工作,使用红、绿、蓝三原色LE D生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 2.1单片机的发展 单片机也被称为微控制器(M ic ro co nt r ol le r),是因为它最早被用在工业控制领域。单片机由芯片内仅有CP U的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CP U集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。IN TE L的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。单片机又称单片微控制器,它不是完成某一个逻辑功能的

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

电子秒表课程设计..

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

数字式秒表课程设计

《数电设计》课程设计报告 题目数字式秒表 学院(部)理学院 专业电子信息科学与技术 学生姓名孟涛涛 学号2 前言 如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。 二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字

电路中最基本的元件。数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。 与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。 此次课设更是加深了我们对数字技术的理解和认识。 目录 一.前言 二.内容摘要 三.关键字 四.技术要求 五.方案论证与选择 1.方案论证 2.总框图 (一)控制电路 (二)0.01秒脉冲发生器 (三)复位电路 (四)译码显示电路 1.计数器74LS160 2.译码器7447 3.七段数码管(LED)

六.电路图及电路工作原理 元件清单 七.课设存在的问题及解决 八.心得体会 九.参考文献 二.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计 实验之一。该数字计数系统的逻辑结构较简单,是由控制电 路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电 路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分, 它和分频器一起用来产生0.01秒的脉冲。 三. 关键字 计数器,译码器,显示器,555定时器构成的多谐振荡器,基 本R-S触发器 四.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

数字式秒表课程设计报告

2012 ~2013学年第 2 学期 《数字电子技术》 课程设计报告 题目:数字式秒表 专业:通信工程 班级:11级通信二班 姓名:涛、、文凯、芳琪 王然、程洋洋、王国文、灿指导教师:王银花 电气工程学院 2013年6月04日

1、任务书

摘要 关键词译码显示电路;R-S触发器;555定时器分频器 在科技高度发展的今天,数字秒表在日常生活中是比较常见的电子产品,以其走时精确,使用方便,功用多而受广大用户所喜。 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分;复位电路是由机械开关,电阻,以及电源组成的电路部分;多谐振荡器是由555定时器以及其外围电路组成的电路分,它和分频器一起用来产生0.01秒的脉冲;译码显示电路由7448集成元件构成的电路部分;七段数码管电路由共阴极七段LED显示器,电阻和接地端组成的电路部分。 通过对各部分结构的了解,本实验从而设计出最大是为59.99秒的数字式秒表。通过对实验了解到计数秒表的设计存在一些问题,但是这也充分说明了数字秒表还存在很大的提升空间,对计数精度可以进一步提高。在设计实验中为了保证实验过程少走弯路,学会仿真是必要的,对本实验我们采用multism软件仿真,以便提高实验的正确性与可行性。 在平时的理论学习中遇到的问题都一一解决,加深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好的开端,我受益匪浅。同时,让我明白:电子设计容不得纸上谈兵,只有自己动手实际操作才会有深刻理解,才会有收获。

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

单片机课程设计---简易数字秒表的设计与仿真

单片机课程设计报告 题目:简易数字秒表的设计与仿真 教学单位:机电工程系 专业:机械设计制造及其自动化班级: 学号: 姓名:

1.项目设计目的与要求。 (1) 熟悉单片机定时/计数器电路和中断的功能与编程。 (2) 熟悉8051与8255与LED的接口技术、LED动态显示的控制过程。 (3) 通过调试简易秒表整体程序,学会如何编制含LED动态显示、键盘扫描和定时器中断等多种功能的综合程序,体会大型程序的编制和调试技巧。 2.实验设备及所需元器件 (1)DJ-598KC 单片机开发系统 1台 (2)仿真器(EASYPROBE) 1只 (3)PC机1台 3.项目内容及实验方法。 (1)内容与要求: 利用DJ—598KC单片机仿真实验系统进行模拟,用六位LED数码管显示实时计时值,其中时、分、秒、十分秒值从左到右各用1至两位LED数码显示,显示值为分、秒值0~59,时和十分秒值为0~9,使用KEY0、KEY1、KEY2等3键分别实现启动、停止、清零等功能。 (2)方法: 用单片机定时器0中断方式,实现100ms定时作为实时时基信号,编程实现十分秒、秒、分计数。用动态显示方式实现秒表计时显示,采用中断或查询方式取得KEY0、KEY1、KEY2的按键输入,实现秒表的启动、停止、清零等功能。 4.项目电路设计及原理介绍 (1)实验电路及接线图

(2)实验步骤:(实验线路原理及接线) 将KEY0、KEY1、KEY2按键用实验系统上的K1~K3键代起,分别和P1.0~P1.2连接,实现秒表的启动、停止、清零等功能。 电路中,8255 B口的8个I/O引脚PB0~PB7通过反相器驱动电路连接六位LED的阳极a~g,及dp;8255的A口的六个I/O引脚PA0~PB5通过同相驱动,对6位LED的阴极进行分时选通;这样在任一时刻,可以只有一位LED是点亮的。只要扫描的频率足够高(一般大于25Hz),由于人眼的视觉暂留特性,直观上感觉却是连续点亮的,这就是我们常说的动态扫描电路。 LED实现动态显示时,动态扫描的频率有一定的要求。频率太低,LED将出现闪烁现象;如频率太高,由于每个LED点亮的时间太短,LED的亮度太低,肉眼无法看清。所以一般均取几个ms 左右为宜,这就要求在编写程序时,选通某一位LED使其点亮并保持一定的时间,程序上常采用的是调用延时子程序。在C51指令中,延时子程序是相当简单的,并且延时时间也很容易更改,可参见程序清单中的DELAY延时子程序。 5.控制程序流程图 (1)主程序框图: LED显缓单元清零 定时∕计数器0、中断初始化 调用LED动态显示子程 启动键按下否停止键按下否复位键按下否开定时器0中断启动定时开始 关定时器0中断停止定时 NO NO NO YES YES YES

相关文档
最新文档