(哈工大)数字电路课件11

哈工大电路答案-1

答案1.1 解:图示电路电流的参考方向是从a 指向b 。当时间t <2s 时电流从a 流向b,与参考方向相同,电流为正值;当t >2s 时电流从b 流向a ,与参考方向相反,电流为负值。所以电流i 的数学表达式为 2A 2s -3A 2s t i t ? 答案1.2 解:当0=t 时 0(0)(59e )V 4V u =-=-<0 其真实极性与参考方向相反,即b 为高电位端,a 为低电位端; 当∞→t 时 ()(59e )V 5V u -∞∞=-=>0 其真实极性与参考方向相同, 即a 为高电位端,b 为低电位端。 答案1.3 解:(a)元件A 电压和电流为关联参考方向。元件A 消耗的功率为 A A A p u i = 则 A A A 10W 5V 2A p u i === 真实方向与参考方向相同。 (b) 元件B 电压和电流为关联参考方向。元件B 消耗的功率为 B B B p u i = 则 B B B 10W 1A 10V p i u -===- 真实方向与参考方向相反。 (c) 元件C 电压和电流为非关联参考方向。元件C 发出的功率为 C C C p u i = 则 C C C 10W 10V 1A p u i -===-

真实方向与参考方向相反。 答案1.4 解:对节点列KCL 方程 节点③: 42A 3A 0i --=,得42A 3A=5A i =+ 节点④: 348A 0i i --+=,得348A 3A i i =-+= 节点①: 231A 0i i -++=,得231A 4A i i =+= 节点⑤: 123A 8A 0i i -++-=,得123A 8A 1A i i =+-=- 若只求2i ,可做闭合面如图(b)所示,对其列KCL 方程,得 28A-3A+1A-2A 0i -+= 解得 28A 3A 1A 2A 4A i =-+-= (b) 答案1.5 解:如下图所示 (1)由KCL 方程得 节点①: 12A 1A 3A i =--=- 节点②: 411A 2A i i =+=- 节点③: 341A 1A i i =+=- 节点④: 231A 0i i =--= 若已知电流减少一个,不能求出全部未知电流。 (2)由KVL 方程得

哈工大2011年大学物理试题

大学物理期末考题(A) 2003年1月10日 得分__________ 班级_________姓名_________学号___________ 序号____________ 注意:(1)共三张试卷。(2)填空题★空白处写上关键式子,可参考给分。计算题要排出必要的方程,解题的关键步骤,这都是得分和扣分的依据。(3)不要将订书钉拆掉。(4)第4、5页是草稿纸。 一、选择题 1、在宽度a =0.05mm 的狭缝后置一焦距f 为0.8m 的透镜, 有一屏幕处在透镜的焦平面上,如图所示。现将某单色光垂直照射在单缝上,在屏幕上形成单缝衍射条纹,试问:若在离中央明条纹上方x =1.6cm 的P 处恰为暗条纹,则该光的波长约为 (a) 450nm (b) 500nm (c) 550nm (d) 600nm _____________ 1、在宽度a =0.05mm 的狭缝后置一焦距f 为0.8m 的透镜,有一屏幕处在透镜的焦平面上,如图所示。现将某单色光垂直照射在单缝上,在屏幕上形成单缝衍射条纹,试问:若在离中央明条纹上方x =1.6cm 的P 处恰为暗条纹,则该光的波长约为 (a) 450nm (b) 500nm (c) 550nm (d) 600nm 选_____B ______ λ θθk a f x ==sin kf ax = ?λ 2、在牛顿环实验中,观察到的牛顿环的干涉圆环形条纹第9级明条纹所占的面积与第16级明条纹所占的面积之比约为 (a) 9/16 (b) 3/4 (c) 1/1 (d) 4/3 (e) 16/9 选_____________ 2、在牛顿环实验中,观察到的牛顿环的干涉圆环形条纹第9级明条纹所占的面积与第16级明条纹所占的面积之比约为 (a) 9/16 (b) 3/4 (c) 1/1 (d) 4/3 (e) 16/9 选_____C ______ 明:2 ) 12(λ -= k R r , 暗:λRk r = , λπR S S k k =-+1 3、用频率为ν的单色光照射某金属时,逸出光电子的动能为k E ,若改用频率 2ν的单色光照射该金属时,则逸出光电子的动能为 (a )k E 2 (b) k E h -ν (c) k E h +ν (d) k E h -ν2 选_____________

电路理论基础课后答案解析(哈工大陈希有)第11章

题11.1 根据定义求 和的象函数。 解: (1) (2) 题11.2 设 求的象函数。 解: 由拉氏变换的微分、线性和积分性质得: 题11.3 设 (t 为纯数)。分别求对应象函数、、,验证卷积定理。 解: 设 , 则 与的卷积为 )()(t t t f ε=)(e )(t t t f at ε-=2020 001e 1e 1e e )()(- s s dt s s t dt t t s F st st st st =-=+-==∞-∞-∞-∞ -- - - ??ε 20)(20 )(00) (1e )(1e 1e e )(e )(-ααααεααα+=+-=+++-==∞ +-∞+-∞-∞-----??s s dt s s t dt t t s F t s t s st st t ξ ξετd f c t bf t t f a t f f t A t f t t )()(d )(d )(,0)0(),()e 1()(01 11 21/1?-++==-=--)(2t f )(2s F ) /1(//1)(1 τττ+=+-=s s A s A s A s F ) /1(/ )()()/(]/)([)()]0()([)(2 2 111112τ τ+++=++=++-=-s s A c bs as s F s c b as s s F c s bF f s sF a s F )()()(,e 2)(,e 5)(2 15221t f t f t f t f t f t t *===--)(1s F )(2s F )(s F 25)}({)(1 1+==s t f s F L 5 2 )}({)(2 2+==s t f L s F ) 5)(2(10 )()(2 1++=s s s F s F )(1t f )(2t f

哈工大电路原理基础课后习题

第一章习题 1.1 图示元件当时间t<2s时电流为2A,从a流向b;当t>2s时为3A,从b流向a。根据图示参考方向,写出电流的数学表达式。 1.2图示元件电压u=(5-9e-t/τ)V,τ>0。分别求出t=0 和t→∞时电压u的代数值及其真实方向。 图题1.1图题1.2 1.3 图示电路。设元件A消耗功率为10W,求;设元件B消耗功率为-10W,求;设元件C发出功率为-10W,求。 图题1.3 1.4求图示电路电流。若只求,能否一步求得? 1.5图示电路,已知部分电流值和部分电压值。 (1) 试求其余未知电流。若少已知一个电流,能否求出全部未知电流? (2) 试求其余未知电压u14、u15、u52、u53。若少已知一个电压,能否求出全部未知电压? 1.6 图示电路,已知,,,。求各元件消耗的功率。 1.7 图示电路,已知,。求(a)、(b)两电路各电源发出的功率和电阻吸收的功率。 1.8求图示电路电压。 1.9 求图示电路两个独立电源各自发出的功率。 1.10求网络N吸收的功率和电流源发出的功率。 1.11 求图示电路两个独立电源各自发出的功率。

1.12 求图示电路两个受控源各自发出的功率。 1.13 图示电路,已知电流源发出的功率是12W,求r的值。 1.14求图示电路受控源和独立源各自发出的功率。 1.15图示电路为独立源、受控源和电阻组成的一端口。试求出其端口特性,即关系。 1.16 讨论图示电路中开关S开闭对电路中各元件的电压、电流和功率的影响,加深对独立源特性的理解。 第二章习题 2.1 图(a)电路,若使电流A,,求电阻;图(b)电路,若使电压U=(2/3)V,求电阻R。 2.2 求图示电路的电压及电流。 2.3图示电路中要求,等效电阻。求和的值。 2.4求图示电路的电流I。

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

电路理论基础课后答案(哈工大陈希有)第9章

答案9.1 解:由分压公式得: U U H R /)(j =ωRC RC C R R ωωωj 1j )j /(1+=+= )j (ωH 具有高通特性,令2 1 )j (c =ωH 得 截止频率RC 1 c =ω,通带范围为∞~c ω 答案9.2 解:由阻抗并联等效公式得: Ω+=+=---3 3 636310 j 110)10j /(110)10j /(10)j (ωωωωZ 阻抗模及幅角分别为: 2 33 )10(110)j (ωω-+= Z , )10arctan()(3ωωθ--= 令 2/1)j (c =ωZ 求得截止角频率rad/s 103c =ω,故通带及阻带分别为: 通带=ω0~rad/s 103,阻带=ωrad/s 103~∞。幅频特性和相频特性如图(b)和(c)所示。 (b) -- 答案9.3 解:等效输入阻抗 )1() j j ()j 1j ()(j j j j )j (1221212122 11C R LR C L R R C L R R C L R R C R C R L R L R Z ωωωωωωωωω++++++=-++?= 取极端情况,令0=ω,得20)j (R Z ==ωω; 令∞→ω,得1)j (R Z =∞→ωω。由)j (ωZ 不随频率变化得R R R ==21,式(1)简化为

)j 1j () j 1j (2 )j 1j ()j 1j (2)j (22 C L R C L R C L R C L R C L R C L R C L R C L R Z ωωωωωωωωω+++++=+++++= 由)j (ωZ 为实数得: C L R R C L R R C L =+=2,2 故当C L R R ==21时端口电流与端口电压的波形相似,此时C L Z =)j (ω。 答案9.4 解: RC 并联的等效阻抗 RC R C R C R Z RC ωωωj 1j /1j /+=+= RC RC Z L Z U U H +==ωωj /)j (1 2 R L LC RC L R R /j 11 )j 1(j 2 ωωωω+-=++= 幅频特性 2 22) /()1(1 )j (R L LC H ωωω+-= 当0→ω时,1)j (=ωH ;当∞→ω时,0)j (=ωH 所以它具有低通特性。 答案9.5 解:由KVL 及分压公式得 1 db cb 2)j 1j 1j 1(U C R R C R C U U U ωωω+-+=-= 整理得 RC RC U U H ωωωj 1j 1)j (1 2+-= = 其幅频特性 1) (1)(1)j (2 2 22=++= RC RC H ωωω 相频特性 )arctg(2)(RC ωω?-= 当ω从0变到∞时,)(ω?从0变化到π-。 注释:图中电路幅频特性为常量,与频率无关,具有全通特性,常用作移相。 答案9.6 解:设

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

电路基本理论课后答案(哈工大版)第10章

答案10.1 解:0t 时,求等效电阻的电路如图(b)所示。 等效电阻 Ω=++-==5)36(4i i i i i u R 时间常数 s 1.0i ==C R τ 0>t 后电路为零输入响应,故电容电压为: V e 6.0e )0()(10/t t C C u t u --+==τ

Ω6电阻电压为: V e 72.0)d d (66)(101t C t u C i t u -=-?Ω-=?Ω-=)0(>t 答案10.4 解:0t 后电路为零输入响应,故电感电流为 A e 3e )0()(2/t t L L i t i --+==τ)0(≥t 电感电压 V e 24d d )(21t L t i L t u --==)0(>t Ω3电阻电流为 A e 236321 33t L u i u i --=Ω +?Ω=Ω= Ω3电阻消耗的能量为: W 3]e 25.0[12123040 40 2 3 3=-==Ω=∞-∞ -∞Ω??t t dt e dt i W 答案10.5 解:由换路定律得0)0()0(==-+L L i i ,达到稳态时电感处于短路,故 A 54/20)(==∞L i 求等效电阻的电路如图(b)所示。 (b) 等效电阻 Ω==6.18//)4//4(i R 时间常数 s )16/1(/i ==R L τ 0>t 后电路为零状态响应,故电感电流为:

哈工大电路习题答案第08章

答案8.1 解: )/1()(T t A t f -= T t <<0 ??-== T T dt T t A T dt t f T A 000)/1(1)(1A T t t T A T 5.0]2[02=-= ?-=T k dt t k T t A T a 0 )cos()/1(2ω 0)sin(2)]sin()/1(2[0 20=+?-=?T T dt t k T k A t k Tk T t A ωωωω ?-=T k dt t k T t A T b 0 )sin()/1(2ω π ωωωωωk A kT A dt t k T k A t k Tk T t A T T ==-?--=?2)cos(2)]cos()/1(2[020 所以 ∑ ∞ =+=1 sin 5.0)(k t k k A A t f ωπ 频谱图如图(b)所示。 .0 答案8.2 解:电流i 的有效值 57.1)2/13.0()2/67.0()2/57.1(12222≈+++=I A 只有基波电流与正弦电压形成平均功率,故二端电路输入的平均功率为: 95.73)]90(90cos[2 57 .122.94=?--?-?= P W 注释:非正弦周期量分解成傅里叶级数后,其有效值等于直流分量和不同频 率交流分量有效值平方和的平方根。 答案8.3 解:对基波 ?∠=0100m(1)U V , A 010m(1) ?∠=I 由

Ω==-+=10)1(j ) 1(m ) 1(m ) 1(I U C L R Z ωω 求得 Ω=10R , 01 =-C L ωω (1) 对三次谐波 ?-∠=3050m(3)U V , A 755.1i m(3)ψ-∠=I 又由 Ω+?-∠==-+=)30(5.28)313(j m(3) m(3)) 3(i I U C L R Z ψωω (2) 所以 22 25.28)313(=- +C L R ωω (3) 将式(1)代入式(3), 解得 mH 9.31=L 将mH 9.31=L 代入式( 1 ),求得 F 3.318μ=C 再将C L R 、、 值代入式(2),有 Ω?-∠=Ω+=3028.5j26.7)10(i )3(ψZ 解得 ?=45.99i ψ 答案8.4 解: (1) 电压有效值: V 01.80)225()250()2100(222=++=U 电流有效值 58.74mA )2 10 ()220()280( 222=++=I (2) 平均功率 kW 42.345cos 2 10250cos 22050)45cos(280100=??+??+?-?=P

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

电路理论基础A第五章(哈工大)答案

答案5.1 设负载线电流分别为A B C i i i 、、,由KCL 可得A B C 0I I I = ++。又A B C 10A I I I ===, 则A B C i i i 、、的相位彼此相差120?,符合电流对称条件,即线电流是对称的。 但相电流不一定对称。例如,若在三角形负载回路内存在环流0I (例如,按三角形联接的三相变压器),则负载相电流不再对称,因为 0CA CA 0BC BC 0AB AB ',','I I I I I I I I I +=+=+= 不满足对称条件。而该环流对线电流却无影响,因为每个线电流都是两个相电流之差(如图题7.3),即 BC CA BC CA C AB BC AB BC B CA AB CA AB A '','',''I I I I I I I I I I I I I I I -=-=-=-=-=-= A B C 图 题7.3 如已知负载对称,则相电流也是对称的,每相电流为77.53/10≈A 。 答案5.2 负载各相阻抗化为星形联接为 (8j6)'33Z Z -==Ω 设A 相电源相电压为2200∠ ,A 相负载线电流与电源相电流相等 AN A 220082.50A (8j6)Z 'j2 3l U I Z ∠? ===∠-Ω +Ω+ 由三角形联接得相电流与线电流关系得 A'B'47.6A I === 即负载相电流为47.6A 。 答案5.3 解:电路联接关系如图(a)所示。负载断开时电源的输出线电压等于图中相电压 倍。下面计算相电压A U 。

A I (b) I C (a)U 设负载A 相电压为AN 2200V U =∠? ,对于感性负载,由cos 0.8?=,得36.87?=-?,则 A 236.87A I =∠-? 采用单相分析法,如图(b)所示。 电源相电压为 A AN A i [2200236.87(2j4)]V U U I Z =+ =∠?+∠-??+ 2281V =∠? 当负载断开时,电源输出电压为 A 395V l U == 答案5.7 解:设电源为星形联接,电源A 相电压相量为 AN 2200V U ==∠? 则电源线电压分别为 AB 38030V U =∠? ,BC 38090V U =∠-? ,CA 380150V U =∠? 。 (1)设电路联接如图(a)所示,化为单相计算,如图(b)所示。 N ' N N ' U U (b) AN ' U BN BN I 因为负载为星形联接,所以负载相电压 AN'2200V U =∠? ,BN'220120V U =∠-? ,CN'220240V U =∠-? 又因为

哈工大电路原理基础课后习题

第一章习题 1.1 图示元件当时间t<2s时电流为2A,从a流向b;当t>2s时为3A,从b流向a。根据图示参考方向,写出电流的数学表达式。 1.2图示元件电压u=(5-9e-t/τ)V,τ>0。分别求出t=0 和t→∞时电压u的代数值及其真实方向。 图题1.1 图题1.2 1.3 图示电路。设元件A消耗功率为10W,求;设元件B消耗功率为-10W,求;设元件C发出功率为-10W,求。 图题1.3 1.4求图示电路电流。若只求,能否一步求得? 1.5 图示电路,已知部分电流值和部分电压值。 (1) 试求其余未知电流。若少已知一个电流,能否求出全部未知电流? (2) 试求其余未知电压u14、u15、u52、u53。若少已知一个电压,能否求出全部未知电压? 1.6 图示电路,已知,,,。求各元件消耗的功率。 1.7 图示电路,已知,。求(a)、(b)两电路各电源发出的功率和电阻吸收的功率。 1.8 求图示电路电压。 1.9 求图示电路两个独立电源各自发出的功率。 1.10 求网络N吸收的功率和电流源发出的功率。 1.11 求图示电路两个独立电源各自发出的功率。

1.12 求图示电路两个受控源各自发出的功率。 1.13 图示电路,已知电流源发出的功率是12W,求r的值。 1.14 求图示电路受控源和独立源各自发出的功率。 1.15图示电路为独立源、受控源和电阻组成的一端口。试求出其端口特性,即关系。 1.16 讨论图示电路中开关S开闭对电路中各元件的电压、电流和功率的影响,加深对独立源特性的理解。 第二章习题 2.1 图(a)电路,若使电流A,,求电阻;图(b)电路,若使电压U=(2/3)V,求电阻R。 2.2 求图示电路的电压及电流。 2.3 图示电路中要求,等效电阻。求和的值。 2.4求图示电路的电流I。

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

哈工大电路答案第11章

答案11.1 解: (1) 2020 00 1 e 1e 1e e )()(- s s dt s s t dt t t s F st st st st = -=+ -==∞-∞-∞-∞-- - - ??ε (2) 2 0)(2 0)(00)(1e )(1e 1e e )(e )(-ααα αεααα+= +-=++ +- ==∞+-∞ +-∞-∞ --- - - ? ?s s dt s s t dt t t s F t s t s st st t 答案11.2 解: ) /1(//1)(1τττ+=+-= s s A s A s A s F 由拉氏变换的微分、线性和积分性质得: ) /1(/)()()/(]/)([)()]0()([)(22111112ττ +++= ++=++-=-s s A c bs as s F s c b as s s F c s bF f s sF a s F 答案11.3 解: 设25)}({)(11+==s t f s F L ,5 2)}({)(22+==s t f L s F 则 ) 5)(2(10 )()(21++= s s s F s F )(1t f 与)(2t f 的卷积为 ) e e (3 10 ]e 31[e 10e e 10e 2e 5)(*)(520350350)(5221t t t t t t t t d d t f t f --------=?==?=??ξξ ξξξξ 对上式取拉氏变换得: ) 5)(2(10)5121(310)}(*)({21++=+-+= s s s s t f t f L 由此验证 )()()}(*)({2121s F s F t f t f =L 。 答案11.4

哈工大电路习题答案第3章

答案3.1 解:应用置换定理,将电阻R 支路用0.5A I =电流源代替,电路如图(b)所示。 I 2 对电路列节点电压方程: 1212(1)0.5A 44n n I U U +Ω?-=-ΩΩ 12116V (1)3 4.5 4.5n n U U -+Ω++?= ΩΩΩ 0.5A I = 解得 11V n U = 则 12n U R I ==Ω 答案3.2 解: (a ) 本题考虑到电桥平衡,再利用叠加定理,计算非常简单。 (1)3V 电压源单独作用,如图(a-1)、(a-2)所示。 (a-1)(a-2) 由图(a-2)可得 '3V 1A 148348 I ==?Ω+Ω+ 由分流公式得: ''182 A 483 I I Ω=-?=-Ω+Ω (2)1A 电流源单独作用,如图(a-3)所示。

(a-3) 考虑到电桥平衡, "0I =, 在由分流公式得: "113 1A A 134I =-?=-+ (3)叠加: '"1A I I I =+= '"11117/12A I I I =+=- 2 111 2.007W P I Ω=?= (b ) (1)4V 电压源单独作用,如图(b-1)所示。 '2 I ' (b-1) 由图(b-1)可得, '24V 2V (2+2)U Ω?= =Ω '136A I U =-=- ''21'5A I I I =+=- (2)2A 电流源单独作用,如图(b-2)所示。

(b-2) ''22 2A=2V 22U ?= Ω?+ "'' 2311A 2 I I =?= 对节点②列KCL 方程得, """1132A 4A I U I +== 对节点③列KCL 方程得, "" "230I I U ++= 解得 "5A I = (3) 叠加 '"1116A 4A=10A I I I =+=--- '"5A 5A=10A I I I =+=-- - 211 1100W P I Ω=?Ω= 答案3.3 解 :利用叠加定理,含源电阻网络中的电源分为一组,其作用为'I ,如图(b)所示。S I 为一组,其单独作用的结果I '' 与S I 成比例,即:" S I kI =,如图(c)所示。 I I s kI (a) (b) (c) + '"'S I I I I kI =+=+ (1) 将已知条件代入(1)式得 '' 04A 1A 2A I k I k ?=+?? ?-=+???

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

(完整版)哈工大模电习题册答案

【2-1】 填空: 1.本征半导体是 ,其载流子是 和 。两种载流子的浓度 。 2.在杂质半导体中,多数载流子的浓度主要取决于 ,而少数载流子的浓度则与 有很大关系。 3.漂移电流是 在 作用下形成的。 4.二极管的最主要特征是 ,与此有关的两个主要参数是 和 。 5.稳压管是利用了二极管的 特征,而制造的特殊二极管。它工作在 。描述稳压管的主要参数有四种,它们分别是 、 、 、和 。 6.某稳压管具有正的电压温度系数,那么当温度升高时,稳压管的稳压值将 。 1. 完全纯净的半导体,自由电子,空穴,相等。 2. 杂质浓度,温度。 3. 少数载流子,(内)电场力。 4. 单向导电性,正向导通压降U F 和反向饱和电流I S 。 5. 反向击穿特性曲线陡直,反向击穿区,稳定电压(U Z ),工作电流(I Emin ),最大管耗(P Zmax )和动态电阻(r Z ) 6. 增大; 【2-2】电路如图2.10.4所示,其中u i =20sinωt (mV),f =1kHz ,试求二极管VD 两端电压和通过它的电流。假设电容C 容量足够大。 - +- +C R +k 5ΩV 6i u VD +- D u D i a) ( 图2.10.4 题2-5电路图 1.静态分析 静态,是指u i =0,这时u i 视作短路,C 对直流视作开路,其等效电路如图1.4.2(a)所示。不妨设U D =0.6V 则 D D 6V (60.6)V 1.08mA 5k U I R --= ==Ω 对于静态分析,也可以根据二极管的伏安特性曲线,用图解法求解。 2.动态分析 对于交流信号,直流电源和电容C 视作短路;二极管因工作在静态工作点附近很小的范 围内,故可用动态电阻r d 等效,且D d D 1i r u ?=?,由此可得等效电路如图1.4.2(b)所示。 二极管伏安特性方程: )1e (T D /S D -=U u I i (1.4.1) 由于二极管两端电压U D ?U T =26 mV ,故式1.4.1可简化为: T D /S D e U u I i ≈ T D D D d d d 1U I u i r ≈=

相关文档
最新文档