电子琴快速入门 很简单易学哦

电子琴快速入门 很简单易学哦
电子琴快速入门 很简单易学哦

如果要把1234567弹完的话分别对应的是右手的拇指,食指,中指,拇指,食指,中指,无名指。下一个高音手指用拇指弹。左手的话分别对应小手指,无名指,中指,食指,拇指,中指,食指。右手手指从下面穿过去,左手的从上面跨过。对于键盘乐器初学者来说,指法练习最好从最基础的左右手音阶指法开始练习并规范下来。例如:C大调音阶,在一个八度范围练习,右手上行可用3-5指法,既1.2.3

例如说什么是顺指发,扩指法、缩指法什么的这些东西需要明白,主要还是在实践中体验。指法的问题在演奏起到很重要的作用。会影响到整个乐曲旋律的连贯和流畅。有些指法是固定的。比如音阶部分的指法,练习哪个调就要注意那个调的指法。还有一些是乐曲的指法,作者已经标注的直接按照指法弹奏即可。有些指法是灵活的。旋律中并没有标注,也需要根据自己对旋律的理解去自己标记。这一点需要平时就对指法有所揣摩与训练。电子琴多指和弦指法表还有乐谱的指法完全是靠练多了以后,根据自己的自身条件,以及乐曲的要求(例:速度,轻重,缓急...)揣摩的不过最好找专业老师教我当时刚开始找老师学钢琴的时候,用的是钢琴初级教材<拜尔>,现在还有这本书了,不管钢琴电子琴这书都是最基本的.再往下就是<车而尼>等等,这些都是练习曲,不分先后顺序的,你可以由浅入深的学,记住,就算是有老师,老师也只起引导和督促的作用,重要的是要勤练,如果不持之以恒,会前功尽弃.

详细:在电子琴的训练中,共包含五个方面的练习,即:指法的基本功练习、练习曲练习、表演曲目练习、即兴演奏练习、试奏练习。最基础的训练就是指法的基本功练习。所谓指法练习就是指:把键盘上的各音高,通过规范的指法,合理地组织在音阶、和弦、琵音等练习条目中的弹奏训练。

这是其它各类练习的基础,这样的训练越是扎实、全面,就能为日后学习其他音乐作品打下良好的基础,就越有能力在技巧上驾驭各类不同风格的音乐作品,在舞台演奏或即兴演奏时充满自信。

但是,很多传统的指法练习教材都有一定的局限性,并不完全适合电子琴演奏需要。这类教材的音阶、和弦、琶音练习,大都是从古

典音乐、传统大小调式、传统和声中提炼出来的,比如《哈农》的音阶练习,只接触到自然大调、和声小调与旋律小调,终止式也仅限于传统和声模式,琶音练习也仅仅是备调的主和弦。而电子琴演奏的曲目除古典外,还有近现代的各种音乐风格。这些音乐的音阶材料、和声用法与古典音乐不尽相同。因此,拓宽电子琴指法练习的内容是非常必要的。下面,谈一些电子琴基础指法练习的方法。

一、关于音阶练习

音阶是曲调的材料,是调式的概括。在各调式基础上练习好不同类型的音阶,除了能提高手指的灵巧性,弹好级进式的音乐片段外,还可以提高对调式和音乐风格的感受,积蓄音乐语汇。在“多样式音阶”练习中,除各种大小调音阶外,还可以引进各种民族、民间调式音阶,如:具有降三级、降七级的布鲁斯音阶;甚至自己改编的音阶,如全音音阶等。在练习这类音阶的时候,通过合理的指法编排,在各调式上进行充分地练习,就能开拓我们的音乐思维能力、运指能力,从而在演奏不同风格音乐或即兴演奏时得心应手。

为了使音阶的练习更有趣际,可以结合电子琴的多音色功能,在练习音阶时运用不同的音色。比如,用民间小提琴音色来练习“吉普赛音阶”;用三味弦音色练习“日本音阶”;用具有幻想意味的宇宙音色练习全音音阶甚至还可以在练习中加上一定的音效,如弯音、揉弦等。在练习音阶的同时,可在触键等技法上体会这种乐器的演奏方式与音响效果。

即兴演奏是电子琴专业必学内容,在指法的基本练习上应适当增加音阶各种变奏练习,以适应即兴演奏。为了使音阶的练习生动有趣,并适用于即兴演奏中和声的编配,也可为音阶各级配上不同的和声:传统的、流行的、jazz等。这是传统指法练习条目中少有的内容,这种练习可以提高对不同风俗音乐的音阶、和弦用法的进一步认识。在音阶指法练习中,增加一定数量的其它类型音阶,结合电子琴的各种功能来进行练习,这样会使基础训练的内容既充实丰满,又能摆脱以往练习的单调与乏味。

二、关于和弦练习

和弦的基本练习比音阶练习显得更为繁复。因此,有必要在基本练习中,充实和弦种类与适当的和弦连接的练习。这样,不仅能使运

指能力得到提高,还能在训练中,掌握各种和弦的结构与功能含义、来龙去脉。而传统指法练习教程的和弦仅局限于大三和弦、小三和弦、属七、小小七、减七等和弦,对于电子琴演奏与即兴演奏这是远远不够的。和声思维伴随音乐的发展越来越复杂,和声语汇日渐丰富,为适应这种趋势,基本练习中要增加大量新内容,如各种七和弦、附加音和弦、挂留音和弦、九、十一、十三等高叠置和弦。

和弦连接在传统练习中也比较单一,比如《哈农》音阶练习的终止式中,也只是正三和弦与属七和弦,加上一个二级七,这是一种非常传统的终止模式。很多指法练习教程中的和弦也只是各调的主和弦、属七和弦与减导七和弦等,并且和弦的练习都是一些孤立的条目,没有和声功能关联方面的练习。而电子琴指法练习要突破这些局限,充实大量和弦与和弦连接的练习,借以更多地感受和声意味。

在和弦的基本练习中,可以根据乐器法原理,在不同的电子琴音色上运用不同的和弦奏法,以逼真地体现不同乐器的发音特色,使和弦练习更有趣味。如在适当的音区、用圆号音色做和声背景的演奏,模仿独奏大提琴开放式的和弦奏法等。

充实电子琴的和弦演练,丰富对和声的理解与和弦弹奏技能,将对日后的作品视奏、表演、即兴演奏打下坚实的基础。

三、关于琶音练习

琶音具有一定的曲调性,它常常作为旋律片段出现在音乐作品中。琶音又是和弦的另一种横向表现形式,常常作为伴奏背景来烘托主要旋律。因此,琶音在指法的基本训练中也是举足轻重的。在和弦指法练习中接触的各类和弦,在琶音的练习中都要接触到。在琶音的练习中,要尽量地突破传统孤立的和弦模式,要结合调式,结合电子琴演奏特色,灵活地运用多种方法。如配合调式练习各种常用七和弦。为了加强练习的趣味性,可以用电子琴中的钢琴、竖琴、钟琴一类的音色,使琶音的演奏效果更加清澈动听。

为了适应电子琴即兴演奏教学,琶音练习中可以运用各种变奏手法。如fm7和弦,可用和弦分解弹奏方法,还可以运用加人和弦外音的琶音变奏练习。当这种训陈取得一定的进展后,还可以根据和弦符号来进行各种琶音变奏练习,这有助于电子琴的即兴演奏。

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

数电EDA简易电子琴Verilog设计方案

数字电子技术课程设计 --简易电子琴设计 专业班级:电子 姓名: 学号: 日期: 2015.6.5 一、设计任务 用Verilog HDL语言设计简易电子琴。

(1)单独从左至右按下S1-S7每个按键后能够各自对应发出“哆来咪发唆啦西”的音乐声; (2)按下最右边按键(S8),同时再配合按下S1-S7键后,发高八度的对应音;(3)按键需要进行“消抖”处理; (4)外部输入脉冲信号频率为1mhz; (5)扩展要求:自主设计(增加低8度功能)。 二、实验目的 1、学习verilogHDL语言的基本运用,能够利用其进行简单编程; 2、学习使用QuartusⅡ7.0的基本操作,能够利用其进行简单的设计; 3、结合实践加深对理论知识的理解。 三、设计原理 1)喇叭的振动频率不同,导致产生不同的声音;振动频率越低,声音越低沉,振动频率越高,声音越尖锐。题目中音乐基本音的“哆”对应频率为523Hz 、“来”对应频率为587Hz 、“咪”对应频率为659Hz 、“发”对应频率为698Hz 、“唆”对应频率为784Hz 、“啦”对应频率为880Hz 、“西”对应频率为998Hz。 低8度音:基本音频率/2,例如低音1的频率为523/2=261.5Hz。 高8度音:基本音频率×2,例如高音1的频率为523×2=1046Hz.。 不同的频率产生利用给定的时钟脉冲来进行分频实现。 (2)消抖的原理:按键默认输入逻辑‘1’,当有按键按下时对应的输入为逻辑‘0’(但会存在抖动),当FPGA开始检测到该引脚从‘1’变为‘0’后开始定时(按键抖动时间大约10ms),定时时间结束后若该引脚仍然为‘0’则表示确实发生按键按下,否则视为抖动而不予以理会;按键松开过程的消抖处理和按下时原理一样。 (3)原理框图

模电课程设计——简易电子琴的制作

课程设计报告 题目:简易电子琴电路的制作 课程名称:模拟电子技术 院部名称: 专业: 班级: 学生姓名: 学号: 课程设计地点: 课程设计学时: 指导教师: 金陵科技学院教务处制

课程设计书写要求 课程设计报告原则上要求学生手写,要求书写工整。若因课程特点需打印的,要遵照以下字体、字号、间距等的具体要求。纸张一律采用A4的纸张。 课程设计报告书写说明 课程设计报告应包含一下七部分内容:1、摘要 2、目录 3、前言/引言 4、正文 5、结论、6、参考文献 7、附录,每部分的书写要求参见具体条目要求。 填写注意事项 (1)准确说明,层次清晰。 (2)尽量采用专用术语来说明事物。 (3)外文、符号、公式要准确,应使用统一规定的名词和符号。 (4)应独立完成实验报告的书写,严禁抄袭、复印,一经发现,以零分论处。 课程设计报告批改说明 实验报告的批改要及时、认真、仔细,一律用红色笔批改。实验报告的批改成绩采用百分制,具体评分标准由各院部自行制定。 实验报告装订要求 实验批改完毕后,任课老师将每门课程的每个实验项目的实验报告以自然班为单位、按学号升序排列,装订成册,并附上一份该门课程的实验大纲。

课程设计题目: 简易电子琴的制作 一、摘要 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。 关键字:电子琴振荡电路放大器

二、目录

三、前言 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从 而达到电子琴固有的基本功能。

北邮数电实验电子琴

VHDL 硬件描述语言程序设计简易电子琴演奏器

姓名:chi

目录 设计课题的任务要求 (4) 系统设计 (5)

三、仿真波形及波形分析.................................................. 1..0 四、源程序.............................................................. 1.3. 五、功能说明............................................................ 3.7. 六、元器件清单及资源利用情况 (37) 七、故障及问题分析 (39) 八、总结和结论.......................................................... 4.0

设计课题的任务要求 基本要求: 1、用8 X8点阵显示“ 1 2 3 4 5 6 7 ”七个音符构成的电子琴键盘。其中点阵的第一列用一个 LED点亮表示音符“ 1 ”,第二列用二个LED点亮表示音符“ 2”,依此类推, 如下图所 示。 ooo o ooo O oooeeee* ooooatae oooooeee ooooooee ooooooo* 图1点阵显示的电子琴键盘 2、用BTN1?BTN7七个按键模拟电子琴手动演奏时的“ 1 2 3 4 5 6 7 ”七个音符。 当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭, 同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复, 蜂鸣器停止声音的输出。下图所示为按下BTN3按键时点阵的显示情况。

简易电子琴设计数电课程设计

长沙学院 数电课程设计说明书 题目简易电子琴设计 系(部) 专业(班级) 姓名 学号 指导教师 起止日期

数字电子技术课程设计任务书(16)系(部):专业指导教师:

长沙学院课程设计鉴定表 概要 (5)

目录 一、简易电子琴的简介 (6) 1、电子琴设计的背景 (6) 3、电子琴设计的目标 (6) 二、简易电子琴的工作原理电路以及方案选择分析 (7) 1、简易电子琴的工作原理及其电路 (7) 2、方案选择分析 (8) 三、用multisim仿真图及仿真数据分析 (9) 1、仿真图 (9) 2、仿真数据结合电路分析: (13) 四、设计心得体会 (14) 五、参考文献 (14)

概要 随着电子信息社会的进步发展,音乐逐渐成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。我们人类的乐器创作非常广泛,几乎在更大软件均有乐器制造,制作乐器也是和我们电子信息工程的专业知识相结合,所以本文就设计了一个简易电子琴。此次试验实现了8个音阶的振荡频率控制的音调,成功实现简易电子琴的基本功能。 本实验着重讲述了如何使用555制作简易电子琴产生8个不同音阶控制电路的设计,当操作者按下8个按键即可产生8种不同的音调,然后通过LM386功率放大器进行音调放大。在multisim软件仿真中,由于没有LM386芯片,本实验通过用TDA2030替代LM386完成了简易电子琴的仿真,其基本的设计思路是采用了模块设计:实现基本要求时只要用555构成多谐振荡电路,通过不同的电阻(用变阻器调节成8个所需电阻)来获得不同的频率,再经过LM386放大所以发出不同的音调。如果要实现提高要求则需要在基本要求上添加一部分电路即可。通过开关控制不同的电阻所对应的振荡电路的通断调节相应频率大小,从而产生不同的音调。 此次试验我们在图书馆以及网上查找了大部分资料实验才得以完成,最简易的电子琴工作原理有利于对高端音乐软件产品的研究。由小及大,由浅入深,相信将来音乐软件将更加便利我们的生活。 关键词:简易电子琴,NE555,LM386,调频电阻

数电实验简易电子琴的设计方案报告

简易电子琴的设计 姓名: 班级: 学号:

一、项目概况 选题目的,为了进一步巩固之前学到的知识,将课本的知识结合趣味性,让自己得到更好的提高。 项目构思,模型要做一个能成功实现的简易电子琴,包括按键按下后蜂鸣器会根据相应的频率准确发出相应音阶的声音,7段数码管会显示出按键的简谱,输出端H 可以表示音的高低。要用到计数器,触发器分频器,7段数码显示器等元件。 二、方案设计 系 统框 图为 : 输入一个按键信号 编译成一个频率控制信号 通过一个模块对频率信号进行处理 编译成一个简谱的信号 通过LED 显示简谱数字 用H 显示音的高低 系统简介如下: 1.系统框图 2.系统端口 (2个输入口 3个输出口) (1)CLK ,频率1MHz 。用于提供时钟脉冲信号。 PIN_69PIN_68PIN_67PIN_66PIN_65PIN_64PIN_60PIN_59 VCC DIN[7..0] INPUT PIN_25 VCC CLK INPUT PIN_7 H OUTPUT PIN_58PIN_55PIN_54PIN_53PIN_52PIN_51PIN_50 LED[6..0] OUTPUT PIN_11SPK OUTPUT CLRN D PRN Q DFF inst6 NOT inst7D[10..0]CLK BEEP SPK0 inst8 A[3..0] LED[6..0] DCD7SG inst DIN[7..0] KEY[3..0] CODE3inst4Cy clone III inclk0 f requency : 20.000 MHz Operation Mode: Normal Clk Ratio Ph (dg)DC (%)c01/20 0.00 50.00 inclk0areset c0locked N inst9INX[3..0] CODE[3..0] H M_CODE inst2INX[3..0] F_CODE[10..0] INX2CODE inst1

北邮数电实验电子琴

. .. . VHDL硬件描述语言程序设计简易电子琴演奏器 :chi

目录 一、设计课题的任务要求 (3) 二、系统设计 (4) 三、仿真波形及波形分析 (7) 四、源程序 (9) 五、功能说明 (20) 六、元器件清单及资源利用情况 (21) 七、故障及问题分析 (23) 八、总结和结论 (23)

一、设计课题的任务要求 基本要求: 1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。其中点阵的第一列 用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。 图1 点阵显示的电子琴键盘 2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 5 6 7”七个音符。当 某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同 时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复, 蜂鸣器停止声音的输出。下图所示为按下BTN3按键时点阵的显示情况。 图2 按键按下后的点阵显示 3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。 4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。 提高要求: 1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加自动演奏 乐曲的功能。 2、增加手动演奏的音符存储、播放功能。

二、系统设计 1.设计思路 简易电子琴的制作主要是利用不同频率的波来驱动蜂鸣器发出声响。通过输入不同的音符来设置不同的分频系数,使得50MHz的主频分频出不同频率的波。同时,演奏的音符还可以通过数码管和8*8点阵来动态显示。 根据系统设计要求,该电子琴设计采用自顶向下的设计方法。整体的功能通过不同的底层模块配合来完成电子琴的功能。底层模块主要包括乐曲自动演奏模块、分频预置值产生模块和数控分频模块,数码管显示模块,8*8点阵显示模块五部分组成。用这种设计思路把整个系统分为了若干个模块,然后再在顶层文件中将各个模块组合在一起,从而体现出超、高速硬件描述语言VHDL的优势, 关于提高要求过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加自动演奏乐曲的功能,我打算将一首曲子的音符储存在自动播放的数组里面,然后通过计数器来顺序播放储存的音符。 关于提高要求中的手动演奏的音符存储、播放功能,我打算通过编程实现类似数据结构中队列的模块,来储存手动输入的音符,然后在要播放的时候,队列里面的音符依次出队,从而实现音符储存播放的功能。 2.总体框图 图3 简易电子琴总体结构框图

简易电子琴电路课程设计报告模拟电路

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号:0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC 振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图 第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析

3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献 第一部分、课前准备 1、芯片性能指标 首先了解芯片的功能,它是电路的心脏,如果没有它,电子琴是不能工作的。 要想使用必须先了解芯片。本次课程设计采用了741芯片,它是通用高增益运算放大器,其工作电压在±22V,差分电压30V,输入电压18V,允许功耗500,其逻辑图如图1(a)。 在使用该芯片时要注意缺口朝左,数引脚的标号,这样才不会弄错各引脚的功能,否则会烧掉芯片,如上图:一脚为空脚,二脚接反相输入端,三脚接同相端,四脚接负电压,七脚接正电压,六脚输出,同时一脚,四脚,五脚可以配合连接电位器。如图1(b)。

数电实验简易电子琴的设计报告

数电实验简易电子琴的设计报告

简易电子琴的设计 姓名: 班级: 学号: 一、项目概况

选题目的,为了进一步巩固之前学到的知识,将课本的知识结合趣味性,让自己得到更好的提高。 项目构思,模型要做一个能成功实现的简易电子琴,包括按键按下后蜂鸣器会根据相应的频率准确发出相应音阶的声音,7 段数码管会显示出按键的简谱,输出端H能够表示音的高低。要用到计数器,触发器分频器,7段数码显示器等元件。 二、方案设计 系统框图为: 系统简介如下: 1.系统框图

2.系统端口(2个输入口 3个输出口) (1)CLK,频率1MHz。用于提供时钟脉冲信号。 (2)DIN[7..0]。琴键输入的8个音符,8位中只有一位是低电平即每次只能按一个键。 (3)SPK。用于驱动蜂鸣器,输出频率fB与蜂鸣器发出的音调与电子琴各音阶基频有对应关系。 (4)LED。接数码管,用于显示对应的简谱码,H显示音调高低。 3.工作原理 (1)编码器CODE3。将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。按下的琴键的电平为低。 例: 8’b11111110 : KEY<=4’b0001 输入第一位琴键“哆”此时编译成4位二进制数 2^0=1 传入译码器INX2CODE。

(2)译码器INX2CODE。将键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 例: 1 : F_CODE <= 11’H305 刚才编码器编码传入的琴键“哆”的 1此时被译码为数控分频器SPK0的输出信号的频率控制字305H。 (3)SPK0。计数器CNT11B是一个LPM宏模块,利用同步加载控制sload避免来自进位信号cout中可能的毛刺影响,反相器和D触发器使得进位信号延迟半个时钟周期,过滤掉可能的毛刺,使得加载更加可靠。 例: 经过编译的305H被置入模块SPK0的11位可预置计数器中计数器不断以此值为计数起始值,直至全为1。以305H计数起始,计数器成为一个模为1270(7FFH-305H=4F6H=1270)的计数器。从CLK 端每输入1270个脉冲,BEEP输出一个进位脉冲。CLK的输入频率是1MHz,BEEP输出的信号频率是1/(12701us)=787Hz, 然而SPK0的输出信号经过一个D触发器接成的T’触发器后才输出给蜂鸣器。T’触发器一是作二分频器,二分频就是经过有分频作用的电路结构,在时钟每触发2个周期时,电路输出1个周期信号。此时预置的305H对应的蜂鸣器发音的基频FB约等于392.00Hz,二是作为占空比均衡电路,使得SPK0模块输出的功率极低脉款较窄无法驱动蜂鸣器的信号脉宽变均匀(FB占空比为

数电实验简易电子琴的设计报告

数电实验简易电子琴的设计报告 班级:学号: 1、项目概况选题目的,为了进一步巩固之前学到的知识,将课本的知识结合趣味性,让自己得到更好的提高。项目构思,模型要做一个能成功实现的简易电子琴,包括按键按下后蜂鸣器会根据相应的频率准确发出相应音阶的声音,7段数码管会显示出按键的简谱,输出端H可以表示音的高低。要用到计数器,触发器分频器,7段数码显示器等元件。 2、方案设计系统框图为:系统简介如下:1、系统框图2、系统端口(2个输入口3个输出口)(1) CLK,频率1MHz。用于提供时钟脉冲信号。(2) DIN[7、、0]。琴键输入的8个音符,8位中只有一位是低电平即每次只能按一个键。(3) SPK。用于驱动蜂鸣器,输出频率fB与蜂鸣器发出的音调与电子琴各音阶基频有对应关系。(4) LED。接数码管,用于显示对应的简谱码,H显示音调高低。 3、工作原理(1)编码器CODE3。将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。按下的琴键的电平为低。例:8’b : KEY<=4’b0001 输入第一位琴键“哆”此时编译成4位二进制数2^0=1 传入译码器INX2CODE。(2)译码器INX2CODE。将键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。例:1 :

F_CODE <=11’H305 刚才编码器编码传入的琴键“哆”的1此时被译码为数控分频器SPK0的输出信号的频率控制字305H。(3) SPK0。计数器CNT11B是一个LPM宏模块,利用同步加载控制sload避免来自进位信号cout中可能的毛刺影响,反相器和D 触发器使得进位信号延迟半个时钟周期,过滤掉可能的毛刺,使得加载更加可靠。例:经过编译的305H被置入模块SPK0的11位可预置计数器中计数器不断以此值为计数起始值,直至全为1。以305H计数起始,计数器成为一个模为1270(7FFH- 305H=4F6H=1270)的计数器。从CLK端每输入1270个脉冲,BEEP 输出一个进位脉冲。CLK的输入频率是1MHz,BEEP输出的信号频率是1/(12701us)=787Hz,然而SPK0的输出信号经过一个D触发器接成的T’触发器后才输出给蜂鸣器。T’触发器一是作二分频器,二分频就是通过有分频作用的电路结构,在时钟每触发2个周期时,电路输出1个周期信号。此时预置的305H对应的蜂鸣器发音的基频FB约等于3 92、00Hz,二是作为占空比均衡电路,使得SPK0模块输出的功率极低脉款较窄无法驱动蜂鸣器的信号脉宽变均匀(FB占空比为50%)。附加T’触发器的知识点: T’触发器又叫计数器在上升沿到来时实现对原状态的翻转实现计数,频率是时钟周期的一半实现二分频。(4) M_CODE。将CODE3的编码编译为简谱码传递给DCD7SG并且编译对应的音调高低值H。当音调为高的时候H输出为1,H接LED灯亮。1 :

数电课程设计——简易电子琴

目录 1.系统设计 (2) 1.1设计任务 (2) 1.2方案论证[1] (2) 1.3系统框图 (2) 2.单元电路设计 (3) 2.1多谐振荡电路 (3) 2.1.1电路原理[2] (3) 2.1.2器件参数的选择 (3) 2.2音阶发生电路 (4) 2.2.1电路原理 (4) 2.2.2器件参数选择 (4) 2.3喇叭发音电路 (4) 2.3.1电路原理 (4) 2.3.2器件参数的选择 (5) 3.系统测试 (5) 3.1调试要点 (5) 3.2调试过程出现的问题及解决方案 (5) 3.3数据记录 (6) 4结果分析 (6) 5.设计工作总结 (6) 6.参考文献 (7) 7.附录 (7) 7.1元器件明细表 (7) 7.2总原理图 (7) 7.3实物图 (10)

1.系统设计1.1设计任务 (1)玩具电子琴设有八个琴键,分别代表1、2、3、4、5、6、7、 1八个不同的音符,每按下 一个琴键,扬声器发出一个音符的声音。 (2)演奏时的音量和节拍可以调节,以满足演奏一般歌曲的需要。 1.2方案论证[1] 该方案采用NE555构成多谐振荡器,输出驱动电流大,带负载能力强,操作灵活、方便,且性价比高,故选此方案。 1.3系统框图 如图1所示,电路中采用555构成多谐振荡电路,通过按下不的键来改变输入电阻,改变输出频率。输出的信号通过滤波电路送到喇叭。还可进行音调、音量、节拍的调节。 图1

2.单元电路设计 2.1多谐振荡电路 2.1.1电路原理[2] 电路由NE555及其外部电路构成多谐振荡电路,电阻R3、R4与电路中电位器的R,电容C4构成充放电电路。 电路的振荡周期: 多谐振荡器的工作原理多谐振荡器是能产生矩 形波的一种自激振荡器电路,由于矩形波中除基波 外还含有丰富的高次谐波,故称为多谐振荡器。多 谐振荡器没有稳态,只有两个暂稳态,在自身因素 的作用下,电路就在两个暂稳态之间来回转换,故 又称它为无稳态电路。由555定时器构成的多 谐振荡器如图1所示,R1,R2和C是外接定时元件, 电路中将高电平触发端(6脚)和低电平触发端(2 脚)并接后接到R2和C的连接处,将放电端(7脚) 接到R1,R2的连接处。由于接通电源瞬间,电 容C来不及充电,电容器两端电压uc为低电平,小 于(1/3)Vcc,故高电平触发端与低电平触发端均 为低电平,输出uo为高电平,放电管VT截止。这 时,电源经R1,R2对电容C充电,使电压uc按指 数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R1+R2)C。由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。 2.1.2器件参数的选择 根据上述公式和发音频率值算出电容和电阻值,取R=1K,C2=0.1uF。

电子技术课程设计简易电子琴

中南民族大学 电子技术课程设计报告 题目简易电子琴 学院计算机科学学院 专业自动化年级 2013 姓名阮勇学号 指导教师 年月日 指导教师评语: 作品50 制作质量20 完成效果30 报 告 30 电路及说明10 测试与分析15 其他5 答 辩 20 展示内容10 讲解回答10 总分:指导教师签名:

电子技术课程设计 任务书 设计题目:简易电子琴 学生姓名:阮勇学号:专业班级:自动化4班 一、设计条件 1.可选元件 (1)选题规定的“可选、限选元件” (2)电阻、电容、电感、电位器等,按需使用 (3)自备元件 2.可用仪器 万用表,示波器,交流毫伏表,信号发生器,直流稳压电源 二、设计任务及要求 1.设计任务 根据技术要求和已知条件,完成选题电路的设计、装配与调试。 2.设计要求 (1)选题规定的“设计内容和要求”; (2)选择电路方案,完成对确定方案电路的设计。包括:计算电路元件参数、选择元件、画出总体电路原理图; (3)用软件仿真整体或部分核心实验电路,得出适当结果; (4)装配、调试作品,按规定格式写出课程设计报告书。 三、时间安排 1.第10周前:布置设计任务,讲解设计要求、实施计划、设计报告等要求。 2.第12周前:理解课题要求,准备元器件。 3.第10~16周:资料查阅,方案设计,模拟仿真,实际制作。 4.第15~16周:完成设计与制作,答辩,提交设计报告。 指导教师签名:年月日

目录 摘要 (4) 关键词 (4) 1 技术指标及要求 (4) 1.1 设计任务及要求 (4) 1.2 设计思想 (4) 2 方案论证及整体电路工作原理 (5) 2.1 方案论证 (5) 2.2 整体电路工作原理 (5) 3 单元电路设计:计算,元器件选择及电路图 (6) 3.1 RC串并联选频网络电阻的选择 (6) 3.2 滤波电容的选择 (6) 4 proteus仿真结果显示 (7) 5实验结果分析 (8) 5.1测试结果 (8) 5.2.调试过后最终波形 (9) 6课程设计成果展示 (10) 7 元件及器件明细 .................................................................................. 错误!未定义书签。8总结..................................................................................................... 错误!未定义书签。 8.1完成情况…………………………………………………………………………………错误!未定义书签。 8.2实验心得.................................................................................... 错误!未定义书签。 9 致谢 ..................................................................................................... 错误!未定义书签。10参考文献............................................................................................. 错误!未定义书签。

数电EDA简易电子琴Verilog设计

数字电子技术课程设计 --简易电子琴设计专业班级:电子 姓名: 学号: 一、日期:设计任务 用Verilog HDL语言设计简易电子琴。 (1)单独从左至右按下S1-S7每个按键后能够各自对应发出“哆来咪发唆啦西”的音乐声; (2)按下最右边按键(S8),同时再配合按下S1-S7键后,发高八度的对应音;(3)按键需要进行“消抖”处理; (4)外部输入脉冲信号频率为1mhz; (5)扩展要求:自主设计(增加低8度功能)。 二、实验目的 1、学习verilogHDL语言的基本运用,能够利用其进行简单编程; 2、学习使用QuartusⅡ的基本操作,能够利用其进行简单的设计; 3、结合实践加深对理论知识的理解。 三、设计原理 1)喇叭的振动频率不同,导致产生不同的声音;振动频率越低,声音越低沉,振动频率越高,声音越尖锐。题目中音乐基本音的“哆”对应频率为523Hz 、“来”对应频率为587Hz 、“咪”对应频率为659Hz 、“发”对应频率为698Hz 、“唆”对应频率为784Hz 、“啦”对应频率为880Hz 、“西”对应频率为998Hz。 低8度音:基本音频率/2,例如低音1的频率为523/2=。 高8度音:基本音频率×2,例如高音1的频率为523×2=1046Hz.。 不同的频率产生利用给定的时钟脉冲来进行分频实现。 (2)消抖的原理:按键默认输入逻辑‘1’,当有按键按下时对应的输入为逻辑‘0’(但会存在抖动),当FPGA开始检测到该引脚从‘1’变为‘0’后开始

定时(按键抖动时间大约10ms),定时时间结束后若该引脚仍然为‘0’则表示确实发生按键按下,否则视为抖动而不予以理会;按键松开过程的消抖处理和按下时原理一样。 (3)原理框图 四、程序设计 消抖模块 module xiaodou(key_in,key_out,clk); input key_in; input clk; output key_out; reg key_out; reg [2:0]presta; integer q; parameter s0=3'b000, s1=3'b001, s2=3'b010, s3=3'b011, s4=3'b100, s5=3'b101, s6=3'b110, s7=3'b111; always@(posedge clk) begin case(presta) s0: begin key_out<=0; q<=0; if(key_in==1) presta<=s0; else presta<=s1; end s1: begin key_out<=0; if(q>9999) presta<=s2; else q<=q+1; end s2:

北邮数字逻辑课程设计_简易电子琴

简易电子琴实验报告 综合设计: 程序编写: 实验报告撰写:北京邮电大学计算机学院某人 一.实验目的 1.掌握较复杂逻辑的设计和调试。 2.掌握用VHDL语言设计数字逻辑电路。 3.掌握ispLEVER软件的使用方法。 4.掌握ISP器件的使用。 5.用途: 有电子琴的基本功能,可弹奏出简单的乐曲。 二.实验器材 1.在系统可编程逻辑器件1032E 2.示波器 3.逻辑测试笔 4.TEC-5实验系统 三.实验容 设计一个简易电子琴,有两种模式,既可以音阶弹奏,也可以自动播放乐曲。要求音阶弹奏的时候,可以弹奏高音低音一共14个不同音符,自动播放乐曲的时候,要求可以按一定节奏自动播放一首预存在系统中的乐曲。 四.实验设计原理 从试验系统外部接入一个时钟信号,对时钟信号进行分频,可以得到不同分频的脉冲信号。当这个分频值设定为一定的数值时,就可以使试验系统的喇叭发出不同的音符,从而完成音阶演奏。自动演奏时,除了对外部时钟进行分频得到不同的音符外,还需要通过时钟信号对节拍进行控制,设置该音乐最短的音符为基本单位,一步一步往后执行,根据某个音符的节拍数来确定执行时间,从而可以按照节奏将一段音乐自动演奏出来。 五.设计方案 1.一共有14个琴键,表示中音的1-7到低音的1-7,按照电子琴的排列布局来

安排琴键位置。 2.根据不同音符的频率,用多模计数器对时钟信号进行分频,得到特定的脉冲信号,为了使信号稳定,需要对其进行翻转得到2分频信号再输出。 3.综合原理图 4.外部输入50kHz的时钟信号,根据下表用多模计数器对时钟信号进行分频,得到分频系数。 六.程序实现 *********************************实体部分************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity Orgen is port ( moderm:in std_logic;--模式选择,0为音阶自演奏模式,1为自动播放模式 clk:in std_logic;--时钟信号 Index:in std_logic_vector(13 downto 0);--琴键选择信号,1表示选择摸个琴 键,共有14个琴键,可以选择14

相关文档
最新文档