数电课程设计——简易电子琴

数电课程设计——简易电子琴
数电课程设计——简易电子琴

目录

1.系统设计 (2)

1.1设计任务 (2)

1.2方案论证[1] (2)

1.3系统框图 (2)

2.单元电路设计 (3)

2.1多谐振荡电路 (3)

2.1.1电路原理[2] (3)

2.1.2器件参数的选择 (3)

2.2音阶发生电路 (4)

2.2.1电路原理 (4)

2.2.2器件参数选择 (4)

2.3喇叭发音电路 (4)

2.3.1电路原理 (4)

2.3.2器件参数的选择 (5)

3.系统测试 (5)

3.1调试要点 (5)

3.2调试过程出现的问题及解决方案 (5)

3.3数据记录 (6)

4结果分析 (6)

5.设计工作总结 (6)

6.参考文献 (7)

7.附录 (7)

7.1元器件明细表 (7)

7.2总原理图 (7)

7.3实物图 (10)

1.系统设计1.1设计任务

(1)玩具电子琴设有八个琴键,分别代表1、2、3、4、5、6、7、

1八个不同的音符,每按下

一个琴键,扬声器发出一个音符的声音。

(2)演奏时的音量和节拍可以调节,以满足演奏一般歌曲的需要。

1.2方案论证[1]

该方案采用NE555构成多谐振荡器,输出驱动电流大,带负载能力强,操作灵活、方便,且性价比高,故选此方案。

1.3系统框图

如图1所示,电路中采用555构成多谐振荡电路,通过按下不的键来改变输入电阻,改变输出频率。输出的信号通过滤波电路送到喇叭。还可进行音调、音量、节拍的调节。

图1

2.单元电路设计

2.1多谐振荡电路

2.1.1电路原理[2]

电路由NE555及其外部电路构成多谐振荡电路,电阻R3、R4与电路中电位器的R,电容C4构成充放电电路。

电路的振荡周期:

多谐振荡器的工作原理多谐振荡器是能产生矩

形波的一种自激振荡器电路,由于矩形波中除基波

外还含有丰富的高次谐波,故称为多谐振荡器。多

谐振荡器没有稳态,只有两个暂稳态,在自身因素

的作用下,电路就在两个暂稳态之间来回转换,故

又称它为无稳态电路。由555定时器构成的多

谐振荡器如图1所示,R1,R2和C是外接定时元件,

电路中将高电平触发端(6脚)和低电平触发端(2

脚)并接后接到R2和C的连接处,将放电端(7脚)

接到R1,R2的连接处。由于接通电源瞬间,电

容C来不及充电,电容器两端电压uc为低电平,小

于(1/3)Vcc,故高电平触发端与低电平触发端均

为低电平,输出uo为高电平,放电管VT截止。这

时,电源经R1,R2对电容C充电,使电压uc按指

数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R1+R2)C。由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。

2.1.2器件参数的选择

根据上述公式和发音频率值算出电容和电阻值,取R=1K,C2=0.1uF。

2.2音阶发生电路

2.2.1电路原理

电路通过按下不同的键,根据各发音频率公式计算得的电阻值,调节对应的电位器,得到所需要的电阻值。当0f f ,按下S8,时,调节RW8,使555的3脚输出的频率为523.3Hz ,R=RW8;按下S7时,调节RW7,使555的3脚输出的频率为493.9Hz , R=RW8+RW7,依此类推,调节各电位器值,使按下不同按键时发出各种不同的声音,R4起限流作用。

2.2.2器件参数选择

根据上述分析,取R2=2.233K, R3=1.935K, R4=1.669K, R5=1.547K, R6=1.324K, R7=1.125K, R8=0.866K, R9=0.866K 。

2.3喇叭发音电路

2.3.1电路原理

如右图所示,NE555

三脚输出的信号

c3

送到喇叭。

C3起滤波的作用,

使高、低频率不能通过,中频通过。

2.3.2器件参数的选择

由上面分析得,取, C3=1nF。

3.系统测试

3.1调试要点

3.1.2 调节音阶部分

接上5V直流电源,音阶发生电路装上555芯片,用示波器测NE555的3叫输出波形,并根据频率调节各电位器,从S8依次向S1调节。

3.2调试过程出现的问题及解决方案

问题一:

调试完后,下次再接上电源是发现原先调试的频率都变了。

解决方案:

经多次调试发现,555三脚输出频率随电源电压增大而增大,所以每次调节都应确保所接电源电压一样。

问题二:

节拍的音量调节无法调到零。

解决方案:

将电位器RW11换成1K。增大电位器RW11的分压幅度,从而增大音量控制幅度。

3.3数据记录

表1频率测量值与理论值单位:Hz

电路实现了当每按下一个琴键,喇叭便发出一个音符的声音,而且音量、音调可以调节,达到了题目的要求。电路中充放电电容C取值大小要合适,使得电位器阻值可以选小一点,易调试,减少误差。但该音阶发生电路用改变输入电阻的电位器以串联方式连接,使得后面的阻值跟前面的阻值有关联,也给调试带来不便。

5.设计工作总结

通过这次的课程设计,更进一步学会了如何制板,如何正确使用万用表以及示波器;掌握了电子琴的原理,对555构成的振荡电路有更深层次的了解;在调试过程中,遇到的问题,并解决问题,使我对电子琴各部分电路研究更透彻,还应注意调节电位器时应先将触点调至中点,再慢慢调对应的大小,以免应阻值过小,电流过大照成芯片烧坏;也使我养成了独立思考的习惯,增强了我的动手能力。并通过找资料,加强了对各芯片的了解,也启示我应该多上网或到图书馆找资料,增长课堂上学不到的知识;设计过程中的碰壁,让我觉悟到专业知识的重要性,驱使我今后更努力念书。

6.参考文献

[1]肖景和主编:《CMOS数字电路应用》(第一版)[M]北京:中国电力出版社,2005

[2]清华大学电子学教研组,阎石主编:《数字电子技术基础》(第五版)[M]北京:高等教育出版社7.附录

7.1元器件明细表

7.2总原理图

7.3 实物图

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

数电课程设计——简易电子琴[11页].doc

物理与电子信息工程系 课 程 设 计 报 告 题目:简易电子琴 专业: 班级: 姓名: 学号:

指导教师: 摘要:以555时基电路为核心构成的多谐振荡电路,通过控制琴键的通断来改变输出频率,从而当每按下一个琴键,喇叭发出一个音符的声音。设计简单,易实现,而且演奏时的音量和节拍可以调节,以满足演奏的需要。 关键字:NE555 多谐振荡 RC充放电音量节拍 目录 1.系统设计 (3) 1.1设计任务 (3) 1.2方案论证[1] (3) 1.3系统框图 (3) 2.单元电路设计 (4) 2.1多谐振荡电路 (4) 2.1.1电路原理[2] (4) 2.1.2器件参数的选择 (4) 2.2音阶发生电路 (5) 2.2.1电路原理 (5) 2.2.2器件参数选择 (5) 2.3 节拍发生电路 (5) 2.3.1电路原理 (5) 2.2.2器件参数选择 (5) 2.4喇叭发音电路 (6) 2.4.1电路原理 (6) 2.4.2器件参数的选择 (6) 3.系统测试 (6) 3.1调试要点 (6) 3.2调试过程出现的问题及解决方案 (7) 3.3数据记录 (7) 4结果分析 (8) 5.设计工作总结 (8) 6.参考文献 (8) 7.附录 (9) 7.1元器件明细表 (9) 7.2器件封装 (9) 7.3总原理图 (10) 7.4PCB图 (11)

1. 系统设计 1.1设计任务 (1)玩具电子琴设有八个琴键,分别代表1、2、3、4、5、6、7、? 1八个不同的音符,每按下一个琴键,扬声器发出一个音符的声音。 (2)演奏时的音量和节拍可以调节,以满足演奏一般歌曲的需要。 1.2方案论证[1] 方案一:该方案由CD4069构成多谐振荡电路,并由三极管放大输出,电路简单,但带负载能力差,且性价比低,因此不选此方案。 方案二:该方案采用NE555构成多谐振荡器,输出驱动电流大,带负载能力强,操作灵活、方便,且性价比高,故选此方案。 1.3系统框图 如图1所示,电路中采用555构成多谐振荡电路,通过按下不的键来改变输入电阻,改变输出频率。输出的信号通过滤波电路送到喇叭。还可进行音调、音量、节拍的调节。 按键电路 555构成的多谐振荡器按键电路 喇叭发音电路 按键电路 CD4069构成的多谐振荡器按键电路 三极管放大按键电路 喇叭发音电路 按键电路 555构成的多谐振荡器按键电路 滤波电路 喇叭发音电路

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

简易电子琴课程设计

简易电子琴电路的设计与制作一?实验目的 1、将课程的理论知识转换为技能。 2、掌握简易电子琴的组成原理及设计方法。 3、掌握集成555定时器应用电路的设计、制作与调试方法。 4、掌握制作电子电路的技能。 5、进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则, 掌握电子仪器的正确使用 6、学会电子电路的安装与调试技能。 7、学会撰写课程设计总结报告。 8、通过课程设计的综合训练,为以后毕业设计打下一定的基础二?实验设备 NE555两个、琴键开关8个(小体积)、按键开关1个、扬声器1个(5V蜂鸣器)、电容0.1μF1个、电容0.01μF1个电容4.7μF1个,、22μF1个、系列电阻:148kΩ、121kΩ、95kΩ、86kΩ、66kΩ、48kΩ、32kΩ、25kΩ、10 kΩ、1 kΩ、5 kΩ、2 kΩ、4.7kΩ若干。三极管9013、9012、9014各两个。φ3红色发光管8个。可调电阻(电位器)60~100 kΩ8个(参考型号104、105、103、504、503),面包板用独股线导线若干。大面包板1块,三联焊接板1块,焊锡、焊油若干。万用表一块、镊子一把、斜嘴一把。三?课程设计任务及要求 1、用555定时器和电子元件设计一个简易电子琴,实现C调八个音 1 阶1.2.3.4.5.6.7.i,具有一般演奏功能。 2、设计电路,按照音阶频率要求选择器件参数,各音阶对应的频率 为:1(264Hz)、2(297Hz)、3(330Hz)、4(352Hz)、5(396Hz)、6(440Hz)、 7(495Hz)、 i(528Hz)。

3、用琴键控制音阶,用指示灯显示发出音阶,按下琴键相应指示灯亮,发出准确的音阶音。 4、仿真验证设计电路,接通仿真电路琴键开关可以听到扬声器发出的准确音阶。 5、在面包板上搭接电路,调整电路参数,达到仿真音阶,做到用人耳识别,音色不失真。 6、设计电路板,组装、焊接、调试达到设计的仿真实验效果,使设计尽量成品化。 7、功能扩展:自动演奏功能、声音延续功能等。 8、完成设计报告 四?实验设计原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。简易电子琴主要使用555定时器和电子元件实现C调八个音阶 1.2.3.4.5.6.7.i,具有一般演奏功能。 2 以下为555定时器的结构及工作原理电路组成: 1).分压器:三个5kΩ电阻构成 2).比较器:两个比较器C1和电路组成: 3). 基本R-S触发器 4).放电晶体管T 5).输出缓冲门

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

单片机课程设计 简易电子琴设计

单片机课程 设计 课程设计名称 专学学 指 级 名 号 师导 课程设计时间

需求分析 1.1课题背景 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论 文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。电子科技也在不断的前进,电子技术正在以不同的方式改变着我们 的生活,电子琴设计也是希望给人们带来一些生活的乐趣。电子琴可以应用在很多方面,比 如一些简易的玩具上或手机上。 单片机技术使我们可以利用软硬件实 现电子琴的功能,从而实现电子琴的微型化。 本文主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴统硬件组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏想要表达的音乐。并且本文分别从原理图,主要芯片,各模块原理及各模块的程序的调试来详细阐述。 1.2课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主 控模块上设有1 6个按键和扬声器。定时器按设置的定时参数产生中断,由于定 时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心 焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

数电EDA简易电子琴Verilog设计方案

数字电子技术课程设计 --简易电子琴设计 专业班级:电子 姓名: 学号: 日期: 2015.6.5 一、设计任务 用Verilog HDL语言设计简易电子琴。

(1)单独从左至右按下S1-S7每个按键后能够各自对应发出“哆来咪发唆啦西”的音乐声; (2)按下最右边按键(S8),同时再配合按下S1-S7键后,发高八度的对应音;(3)按键需要进行“消抖”处理; (4)外部输入脉冲信号频率为1mhz; (5)扩展要求:自主设计(增加低8度功能)。 二、实验目的 1、学习verilogHDL语言的基本运用,能够利用其进行简单编程; 2、学习使用QuartusⅡ7.0的基本操作,能够利用其进行简单的设计; 3、结合实践加深对理论知识的理解。 三、设计原理 1)喇叭的振动频率不同,导致产生不同的声音;振动频率越低,声音越低沉,振动频率越高,声音越尖锐。题目中音乐基本音的“哆”对应频率为523Hz 、“来”对应频率为587Hz 、“咪”对应频率为659Hz 、“发”对应频率为698Hz 、“唆”对应频率为784Hz 、“啦”对应频率为880Hz 、“西”对应频率为998Hz。 低8度音:基本音频率/2,例如低音1的频率为523/2=261.5Hz。 高8度音:基本音频率×2,例如高音1的频率为523×2=1046Hz.。 不同的频率产生利用给定的时钟脉冲来进行分频实现。 (2)消抖的原理:按键默认输入逻辑‘1’,当有按键按下时对应的输入为逻辑‘0’(但会存在抖动),当FPGA开始检测到该引脚从‘1’变为‘0’后开始定时(按键抖动时间大约10ms),定时时间结束后若该引脚仍然为‘0’则表示确实发生按键按下,否则视为抖动而不予以理会;按键松开过程的消抖处理和按下时原理一样。 (3)原理框图

基于FPGA的简易电子琴设计

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计 学生姓名:王春指导老师:郑大腾 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统; Abstract This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

课程设计简易电子琴设计

一设计任务描述 1.1设计题目: 简易电子琴设计 1.2设计目的 通过本学期对微机原理的学习,掌握的知识还停留在理论的上。但是这是一门实践性较强的课程,让学生在学完该课程之后,进行一次课程设计,使学生将课堂所学的知识和实践有机结合起来,初步掌握计算机应用系统设计的步骤和接口设计的方法,提高分析和解决实际问题的能力。 通过设计实践,培养学生查阅专业资料,工具书或参考书,了解有关工业标准,掌握现代设计手段和软件工具,并能以图纸和说明书表达设计思想和结果的能力。 通过设计,不但要培养和提高学生解决工程具体问题,动脑动手的技术工作能力,而且还要逐步建立科学正确的设计和科研思想,培养良好的设计习惯,牢固树立实事求是和严肃认真的工作态度。具体要求如下: (1)可以弹出7个音阶; (2)弹奏简短音乐; (3)通过改变键盘输入来改变8254输出频率,实现扬声器发音。 1.3设计要求 1、总体内容:设计简易电子琴,能发出至少7种音阶; 2、接口设计:根据题目和所用的接口电路芯片设计出完整的接口电路,并在实验系统上完成电路的连接和调试通过; 3、程序设计:要求画出程序框图,设计出全部程序并给出程序设计说明和程序注释; 4、前期完成的实验有:8255并行接口实验。 1.4简易电子琴 电子琴简单功能实现以及利用由8255芯片控制的小键盘(含数码管、键盘阵列)实现控制电子琴。主程序由电子琴和键盘控制的两个程序有机组成,两个程序简单拼凑在一起是不能实现的。经过实际调试和修改,将原电子琴程序改为2个子程序:start(实现播放音阶)和delay(实现播放音阶时延时);将原键

盘控制程序改为1个主程序:ccscan(实现键盘扫描)。 各个子程序通过寄存器通信,寄存器存有当前输入的键盘码,同时为电子琴和键盘控制两子程序服务。调试后,主程序能实现课程设计要求的功能。 二设计概要 2.1设计内容 本次课程设计的内容为简易电子琴的设计与实现,利用8255将键盘输出值返回CPU,CPU通过分析命令8254输出相应频率的方波波形,再经过扬声器发出声音。 由于PC计算机的时钟晶振为1.1931816MHz,可以利用微机实验箱里面的发生单元发出声音。 表2.1 各音阶标称频率值: 2.2设计环境与器材 (1)PC计算机一台 用于对程序的编译测试等,实现对实验设备进行控制,提供整个程序的运行平台,并且收集和释放硬件信号,实现程序功能。 (2)微机原理实验箱一台 此设备提供了8255、8259等必要芯片。并且能通过接受计算机传来的信息,实现相应的功能。 (3)导线若干条 用于电路和芯片之间的连接。 2.3主要芯片功能 2.3.1 8255主要功能 8255 可编程外围接口芯片是Intel 公司生产的通用并行I/O 接口芯片,它具有A、B、C 三个并行接口,并行接口是以数据的字节为单位与I/O 设备或被

单片机电子琴单片机课程设计

郑州科技学院 单片机课程设计 题目:基于51单片的声光电子琴设计 学生姓名:苏梦强 专业班级:电子科学与技术 学号:201131074 院系:电气工程学院 指导教师:饶美丽 完成时间:2015年1月9日 目录 一、设计任务与要求............ 错误!未定义书签。 1.1 设计任务 0 1.2 设计要求 0 1.3 设计意义 0 二、方案总体设计 (1) 2.1 方案对比 (1) 2.2总体设计 (3) 2.3 总体方案工作原理 (3)

三、软件设计 (4) 3.1 系统流程 (4) 3.2延时源代码 (5) 3.3 发音源代码 (5) 3.3单个按键源代码 (5) 3.4所有程序代码 (6) 四、系统仿真与调试........... 错误!未定义书签。 4.1 仿真软件简介 (8) 4.2软件调试 (9)

4.3 使用说明 (10) 五、设计总结 (10) 附录1:总体电路原理图 (12) 附录2:元器件清单 (14)

一、设计任务与要求 1.1 设计任务 实现电子琴发声控制系统;要求电路实现如下功能: 利用蜂鸣器作为发声部件,两个数码管作为显示部件,设置10个按键,实现高音、中音、低音的1、2、3、4、5、6、7的发音。并在存储一首歌曲的内容,可以实现自动播放。 说明:单片机的工作时钟频率为11.0592MHz。 1.2 设计要求 设计一个带有复位电路,晶振时钟,能显示音调字符的8键电子琴。 1.3 设计意义 该设计具有以下优点: 1)可以方便得知播放的音符和音调; 2)比传统电子琴功能更完善; 3)制作简单,成本低

二、方案总体设计 本次课程设计的课题是基于51单片机的电子琴的设计,所要达到的要求如下: 1)利用蜂鸣器作为发声部件。 2)一个数码管作为显示部件。 3)设置8个按键,实现高音、中音、低音的1、2、3、4、5、6、7、8的发音。 本次设计主要是要通过软硬件的配合实现电子琴的上述功能,操作人员可以通过按下键盘上任意一个键来发出相应的音符。 2.1 方案对比 AT89C51具有高速度、低电压、低功耗、且可靠性和成本都比较低的特点。因此本次课程设计采用AT89C51单片机作为整个电路核心控制器件。对于本控制系统使用一片AT89C51系列的单片机,不需要外扩展存储器,就能实现显示、预制状态、动态调节的功能,因而整体结构简单。 设计电路时运用89C51系列单片机的接口来实现各种输入、输出功能。P2.7待定部分口用作输出口,向发声电路输出信号;P1口和P0口共同实现一个键盘的功能。 方案一:采用单个的逻辑器件组合 我们知道计数器8253可以产生任意频率的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率与计数器的频率对应起来就可通过计数器产生音乐了。根据本实验要求,采用8279将键扫描得到的键值通过查表得到相应的8253的频率值,将从

北京交通大学简易电子琴课程设计报告

北京交通大学简易电子琴课程设计报 告

一、设计要求与任务: 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二、总体框图: 、 三、预备知识: 1.555定时器的相关知识 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就能够构成单稳态触发器、自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 555定时器的内部电路框图及逻辑符号和管脚排列分别如图所示:

由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到 R1,R2的连接处。 由于接通电源瞬间,电容C来不及充电,电容器两端电压uc 为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经 R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从 (1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数 T充=(R1+R2)C。

由于放电管VT导通,电容C经过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。 图1 555定时器构成的多谐振荡器电路及工作波形 【逻辑功能】 RST TH TR OUT 0 X X 0 1 >2/3VCC >1/3VCC 0

模电课程设计——简易电子琴的制作

课程设计报告 题目:简易电子琴电路的制作 课程名称:模拟电子技术 院部名称: 专业: 班级: 学生姓名: 学号: 课程设计地点: 课程设计学时: 指导教师: 金陵科技学院教务处制

课程设计书写要求 课程设计报告原则上要求学生手写,要求书写工整。若因课程特点需打印的,要遵照以下字体、字号、间距等的具体要求。纸张一律采用A4的纸张。 课程设计报告书写说明 课程设计报告应包含一下七部分内容:1、摘要 2、目录 3、前言/引言 4、正文 5、结论、6、参考文献 7、附录,每部分的书写要求参见具体条目要求。 填写注意事项 (1)准确说明,层次清晰。 (2)尽量采用专用术语来说明事物。 (3)外文、符号、公式要准确,应使用统一规定的名词和符号。 (4)应独立完成实验报告的书写,严禁抄袭、复印,一经发现,以零分论处。 课程设计报告批改说明 实验报告的批改要及时、认真、仔细,一律用红色笔批改。实验报告的批改成绩采用百分制,具体评分标准由各院部自行制定。 实验报告装订要求 实验批改完毕后,任课老师将每门课程的每个实验项目的实验报告以自然班为单位、按学号升序排列,装订成册,并附上一份该门课程的实验大纲。

课程设计题目: 简易电子琴的制作 一、摘要 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。 关键字:电子琴振荡电路放大器

二、目录

三、前言 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从 而达到电子琴固有的基本功能。

简易电子琴设计

1 设计任务描述 1.1设计题目: 简易电子琴设计 1.2设计内容 利用微机原理试验箱,设计简易电子琴,要求至少可以弹出7个音阶。 1.2.1设计目的 通过本学期对微机原理的学习,掌握的知识还停留在理论的上。但是这是一门实践性较强的课程,让学生在学完该课程之后,进行一次课程设计,使学生将课堂所学的知识和实践有机结合起来,初步掌握计算机应用系统设计的步骤和接口设计的方法,提高分析和解决实际问题的能力。 通过设计实践,培养学生查阅专业资料,工具书或参考书,了解有关工业标准,掌握现代设计手段和软件工具,并能以图纸和说明书表达设计思想和结果的能力。 通过设计,不但要培养和提高学生解决工程具体问题,动脑动手的技术工作能力,而且还要逐步建立科学正确的设计和科研思想,培养良好的设计习惯,牢固树立实事求是和严肃认真的工作态度。 1.2.2基本要求 1.可以弹出7个音阶。 2.弹奏简短音乐。 3.通过改变键盘输入来改变8254输出频率,实现扬声器发音

2 设计思路 通过8255和8254来实现电子琴模拟, 主要由两部分组成:第一部分为键盘扫描,用来确定按键位置,并给计数器赋初值。第二部分为发声部分,由计数器1#的输出端产生一定频率的方波驱动扬声器发声。 其中8254和课本中学的8253在原理上是一样的,它为可编程定时器/计数器。利用计数器0,并使它工作在方式3---输出对称方波,通过改变频率来实现不同的发声高低,再调用延时子程序来实现发音的长短,把输出方波送到扬声器,至此实现不同的音符发声。 过程中主要用到了8255芯片的PB 0—PB 4 ,PA —PA 4 它们分别与键盘单元的 X 1—X 4 ,Y 1 —Y 4 相连,其中B口低4位为输出端,B口低4位1为输入端。由它们对 键盘单元进行扫描,并确定键值工作过程为:先给所有列线输入低电平,然后读取行线,检测行线是否为低电平,如果有某条行线输出低电平,则说明该键盘有按键被按下,否则,说明无按键被按下.采用这种方法可以快速判断键盘是否有键按下。在检查到有键按下后,再通过行扫描法判断按下的位置,从而确定按下的是什么键,经过计算得出计数器1#的初值。

简易电子琴课程设计[1]

课程设计说明书 课程设计名称:数字逻辑课程设计 课程设计题目:简易电子琴 学院名称:信息工程学院 专业: ************** 班级: **** 学号: ******** 姓名: ***** 评分:教师: *** 20 11 年 6 月 28 日

随着社会的进步发展,音乐逐渐成为我们生活中很重要的一部分,而乐器更是应用广泛,几乎在更大软件均有乐器制造。所以本文就设计了一个简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,在现代音乐中扮演着重要的角色。 本实验着重讲述了如何使用555制作简易电子琴产生8个不同音阶控制电路的设计,当操作者按下8个按键即可产生8种不同的音调,然后通过LM386功率放大器进行音调放大。本实验完成了简易电子琴的设计和调试,其基本的设计思路是采用了模块设计:实现基本要求时只要用555构成多谐振荡电路,通过不同的电阻(用变阻器调节成8个所需电阻)来获得不同的频率,再经过LM386放大所以发出不同的音调。如果要实现提高要求则需要在基本要求上添加一部分电路即可。通过开关控制不同的电阻所对应的振荡电路的通断调节相应频率大小,从而产生不同的音调。 当然在这之前要事先查阅资料,再查阅各个芯片的引脚功能的各个参数,同时还要了解震荡电路,与其产生震荡的原理,在根据震荡电路计算出电阻值,以便选择合适的电阻值,这些都是课前准备。测试电子琴要一步一步,首先是震荡电路的线路测试,尽量消除噪声,使音质能够清晰,这样电子琴就做成了。通过本次电子课程设计,不仅掌握了简易电子琴的设计,更重要的是提高了动手实践能力,从搜集各方面资料到检查电路,充分锻炼了分析问题以及解决问题的能力。 关键词:简易电子琴,NE555,LM386,声调

相关文档
最新文档