倍频器实验报告

倍频器实验报告
倍频器实验报告

电子技术设计实践

--31倍频器学院:机械与电子工程学院

专业:电子科学与技术

班级:080631

姓名:刘颖华

学号:08063131

指导老师:管小明老师

2011年11月

一、实习目的

1.了解常用电子器件的类别、型号、规格、性能及其使用范围,能查阅有关的电子器件图

书。能够正确识别和选用常用的电子器件,并且能够熟练使用万用表。

2.熟悉手工焊锡的常用工具的使用及其维护与修理,基本掌握手工电烙铁的焊接技术。4. 了解电子产品的焊接、调试与维修方法。初步学习调试电子产品的方法,提高动手能力。

二、实习器材

1.电烙铁、焊锡丝

2.螺丝刀、镊子、钳子等必备工具

3.万用表

4.万能电路板1个、16脚芯片底座3个、8脚底座1个

5.CD4522芯片2个、CD4046芯片1个、555芯片1个、电阻、电容、二极管等

三、原理介绍

3.1倍频器电路图

T0

图1倍频器电路

3.2 CD4046芯片简介

锁相的意义是相位同步的自动控制,能够完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)。低通滤波器三部分组成,如图1所示。

图2

压控振荡器的输出Uo接至相位比较器的一个输入端,其输出频率的高低由低通滤波器上建立起来的平均电压Ud大小决定。施加于相位比较器另一个输入端的外部输入信号Ui与来自压控振荡器的输出信号Uo相比较,比较结果产生的误差输出电压UΨ正比于Ui和Uo两个信号的相位差,经过低通滤波器滤除高频分量后,得到一个平均值电压Ud。这个平均值电压Ud朝着减小VCO输出频率和输入频率之差的方向变化,直至VCO输出频率和输入信号频率获得一致。这时两个信号的频率相同,两相位差保持恒定(即同步)称作相位锁定。

当锁相环入锁时,它还具有“捕捉”信号的能力,VCO可在某一范围内自动跟踪输入信号的变化,如果输入信号频率在锁相环的捕捉范围内发生变化,锁相环能捕捉到输人信号频率,并强迫VCO锁定在这个频率上。锁相环应用非常灵活,如果输入信号频率f1不等于VCO输出信号频率f2,而要求两者保持一定的关系,例如比例关系或差值关系,则可以在外部加入一个运算器,以满足不同工作的需要。过去的锁相环多采用分立元件和模拟电路构成,现在常使用集成电路的锁相环,CD4046是通用的CMOS 锁相环集

成电路,其特点是电源电压范围宽(为3V -18V ),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz 下功耗仅为600μW ,属微功耗器件。

3.3 CD4046芯片引脚及引脚功能

图3是CD4046的引脚排列,采用 16 脚双列直插式。

图3 CD4046的引脚 各引脚功能如下:

引脚 引脚功能 引脚 引脚功能

1 相位输出端

9 压控振荡器的控制端 2 相位比较器Ⅰ的输出端 10

解调输出端,用于FM 解调

3 比较信号输入端 11、12 外接振荡电阻

4 压控振荡器输出端

13

相位比较器Ⅱ的输出端 5

禁止端,高电平时禁止,低电平时允许压控振荡器工作

14 信号输入端

6、7

外接振荡电容 15

内部独立的齐纳稳压管负极

3.4 CD4046芯片内部结构

图4 CD4046内部电原理框图

图4是CD4046内部电原理框图,主要由相位比较Ⅰ、Ⅱ、压控振荡器(VCO )、线性放大器、源跟随器、整形电路等部分构成。比较器Ⅰ采用异或门结构,当两个输人端信号Ui 、Uo 的电平状态相异时(即一个高电平,一个为低电平),输出端信号UΨ为高电平;反之,Ui 、Uo 电平状态相同时(即两个均为高,或均为低电平),UΨ输出为低电平。

CD4046锁相环采用的是RC 型压控振荡器,必须外接电容C1和电阻R1作为充放电元件。当PLL 对跟踪的输入信号的频率宽度有要求时还需要外接电阻R2。由于VCO 是一个电流控制振荡器,对定时电容C1的充电电流与从9脚输入的控制电压成正比,使VCO 的振荡频率亦正比于该控制电压。当VCO 控制电压为0时,其输出频率最低;当输入控制电压等于电源电压VDD 时,输出频率则线性地增大到最高输出频率。VCO 振荡频率的范

8

、16 电源的负端和正端

围由R1、R2和C1决定。

CD4046内部还有线性放大器和整形电路,可将14脚输入的100mV左右的微弱输入信号变成方波或脉冲信号送至两相位比较器。源跟踪器是增益为1的放大器,VCO的输出电压经源跟踪器至10脚作FM解调用。齐纳二极管可单独使用,其稳压值为5V,若与TTL电路匹配时,可用作辅助电源。

综上所述,CD4046工作原理如下:输入信号Ui从14脚输入后,经放大器A1进行放大、整形后加到相位比较器Ⅰ、Ⅱ的输入端,图4开关K拨至2脚,则比较器Ⅰ将从3脚输入的比较信号Uo与输入信号Ui作相位比较,从相位比较器输出的误差电压UΨ则反映出两者的相位差。UΨ经R3、R4及C2滤波后得到一控制电压Ud加至压控振荡器VCO的输入端9脚,调整VCO的振荡频率f2,使f2迅速逼近信号频率f1。VCO的输出又经除法器再进入相位比较器Ⅰ,继续与Ui进行相位比较,最后使得f2=f1,两者的相位差为一定值,实现了相位锁定。若开关K拨至13脚,则相位比较器Ⅱ工作,过程与上述相同,不再赘述。

四焊接与调试

1 焊接

按照原理图,先用555做一个周期为1秒左右的矩形脉冲波发生器,将脉冲输出连接560欧姆的电阻串联红色LED到地,以作为初级脉冲输出只是作用。

将两片4522并排放在一起,按照原理图连接各个管脚,第二片4522的CF 端连接到电源VDD。

焊接4046,连接脉冲发生器的输出信号和4522的输出信号,将输出信号串联560欧姆电阻和绿色LED作为输出指示。到此焊接完成

2 调试,先调试脉冲电路,如果出现是指示灯一直亮,则查看4、8教是连接或者6脚时候接了电容。

用示波器查看两个脉冲的比例关系,前级脉冲是1.2Hz,后级输出为44.4Hz,器倍频关系式31倍,符合题目要求。44Hz是人眼分辨不出来的,也不能察觉指

示灯在闪烁,我通过要换板子,可以很轻松的发现指示灯不连续亮,说明灯是在闪烁的。

3.电烙铁使用时的注意事项

(1)使用前,应认真检查烙铁电源插头、电源线有无损坏,并检查烙铁头是否松动。

(2)电烙铁使用中,不能用力敲击,要防止烙铁头跌落。

(3)烙铁头上焊锡过多时,可用布擦掉,不可乱甩,以免伤到皮肤和眼睛及烫伤他人。

(4)电烙铁通电后温度高达250℃以上,不用时应放在烙铁架上。

(5)如果较长时间不用应切断电源,防止高温“烧死”烙铁头(被氧化)。另外,要防止电烙铁烫坏其他元器件,尤其是电源线,若其绝缘层被烙铁烧坏而不注意便容易引发安全事故。

(6)电烙铁使用结束后,应及时切断电源,拔下电源插头。冷却后,再将电烙铁收回工具箱。

五总结

通过本次试验,进一步提高了自己的动手能力,同时对理论知识有了更深的了解,理论与实践相连接,收获颇多。在实验的过程中也遇到了些许问题,在同学的帮助下都一一得以解决,最后感谢在实验过程中帮助他人的同学,同时感谢管老师,宋老师和万老师在整个实践过程中对我们的指导和帮助,谢谢!

哈工大FPGA设计与应用分频器设计实验报告

FPGA设计与应用 分频器设计实验报告 班级:1105103班 姓名:郭诚 学号:1110510304 日期:2014年10月11日

实验性质:验证性实验类型:必做开课单位:电信院学时:2学时 一、实验目的 1、了解Quartus II软件的功能; 2、掌握Quartus II的HDL输入方法; 3、掌握Quartus II编译、综合、适配和时序仿真; 4、掌握Quartus II管脚分配、数据流下载方法; 5、了解设计的资源消耗情况; 6、掌握分频器和计数器的实现原理; 7、掌握数码管的静态和动态显示原理 二、实验准备(1分) 2.1 EP2C8的系统资源概述 逻辑单元8,256 M4K RAM 块(4k比特+512校验比特) 36 总的RAM比特数165,888 嵌入式乘法器18 锁相环PLLs 2

2.2 工程所用到的FPGA引脚及功能说明 工程所用到的FPGA引脚及功能说明: PIN_23是时钟引脚; PIN_114 PIN_117 PIN_127 PIN_134是数码管的位选引脚; PIN_133 PIN_116 PIN_110 PIN_112 PIN_128 PIN_118 PIN_115是数码管段选信号引脚;实验所用的LED是共阴级连接 2.3 数码管的动态显示原理 动态显示是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O 线控制,当输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于FPGA对位选通COM端电路的控制,所以只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。

数控铣床加工实验报告

(一)实验目的 1、了解数控铣床组成及其工作原理。 2、了解零件数控加工的手工编程和自动编程方法。 3、掌握用数控铣床加工零件的工艺过程。 (二)实验内容及安排 1)实验前仔细阅读本实验指示书的内容。 2)教师讲解数控铣床的组成及其工作原理,演示数控铣床操作过程。 3)学生进行程序传输和机床操作,完成零件加工。 (三)实验设备 1)数控铣床。 2)由10台计算机组成的局域网。 3)与机床通讯用计算机5台。 (四)数控铣床的组成 数控铣床的基本组成见图1,它由床身、立柱、主轴箱、工作台、滑鞍、滚珠丝 杠、伺服电机、伺服装置、数控系统等组成。 床身用于支撑和连接机床各部件。主轴箱用于安装主轴。主轴下端的锥孔用于安装铣刀。当主轴箱内的主轴电机驱动主轴旋转时,铣刀能够切削工件。主轴箱还可沿立柱上的导轨在Z向移动,使刀具上升或下降。工作台用于安装工件或夹具。工作台可沿滑鞍上的导轨在X向移动,滑鞍可沿床身上的导轨在Y向移动,从而实现工件在X和Y向的移动。无论是X、Y向,还是Z向的移动都是靠伺服电机驱动滚珠丝杠来实现。伺服装置用于驱动伺服电机。控制器用于输入零件加工程序和控制机床工作状态。控制电源用于向伺服装置和控制器供电。 (五)数控铣床加工说明 1.机床手动操作及手轮操作 (1)手动:选择手动功能键(FANUC系统为功能旋钮“手动”档)(见附图), 然后按动方向按键+X +Y +Z –X –Y –Z,使机床刀具相对于工作台向坐标轴某一 个方向运动。 (2)手轮:选择手轮(单步)功能键(FANUC系统为功能旋钮“手轮”档)(见 附图),然后选择运动方向,KND系统为X Y Z方向按键,FANUC系统为方向旋钮。 2.回零操作 (1)零前准备:用手轮方式将工作台,尤其是刀轴移动至中间部位。(Z向行 程较小,只有100mm,多加注意) (2)零操作:选择回零按键,(FANUC系统为功能旋钮指向回零)。点动+X+Y+Z 按键(FANUC系统为按住+X +Y +Z按键),等待系统自动回零。 3.程序传输 FANUC系统: ①功能旋钮指向“编辑”功能,点击“PROG”按键; ②依次选择屏幕下方“操作”、“READ”、“EXEC”软键,等待程序输入;

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

分频器实验报告

分频器实验报告 分频器实验报告 start simulation直至出现 simulation as suessful,仿真结束。观察仿真结果。 时序仿真 图 : 六.实验过程所出现的问题及其解决 通过本次实验,初步掌握了语言的初步设计,收获颇多。但在实验过 程中也遇到了许多的问题,通过自己的独立思考和老师同学的相互讨 论对这个实验有了进一步的了解和认识。在最初建立工程的地方出现 了不少问题,因为没有详细阅读教材,导致无法成功建立工程运行程 序,最后认真熟读教材后,解决了问题。通过对错误的分析和解决, 让自己更好的掌握这一软件的基础操作,为下一次试验打下了见识的 基础。篇五: 八位十进制分频器实验报告 重庆交通大学信息科学与工程学院综合性实 验报告 姓名: 赵娅琳学号 10950214 班级:

通信工程专业201X级 2班 实验项目名称: 8位16进制频率及设计 实验项目性质: 设计性 实验所属课程: 数字设计基础 实验室 BEGIN IF CLKK'EVENT AND CLKK='1' THEN --1Hz时钟2分频 Div2CLK <= NOT Div2CLK; END IF; END PROCESS; PROCESS BEGIN IF CLKK='0' AND Div2CLK='0' THEN RST_CNT<='1'; --产生计数器清零信号 ELSE RST_CNT <='0'; END IF; END PROCESS; Load <= NOT Div2CLK; CNT_EN <= Div2CLK; END behav; 3、十进制计数模块

数控机床-实验报告模板

成绩: 数控机床与编程实验报告 课程数控机床与编程 专业机械设计制造及其自动化 学号2500100408 姓名何益群 指导教师曾文健 机械与电子信息工程学部 2013年11月21日

一、实验目的 1、熟悉数控机床的典型结构组成和工作原理。掌握手工编程的步骤; 2、掌握数控加工仿真系统的操作流程。 二、实验内容 1、观看机械零件的数控加工生产现场; 2、演示手工编程的操作步骤; 3、演示FANUC系统的数控加工操作流程。 三、实验设备 在工厂员工的带领下,我们观看的数控设备有: 华中数控系统的数控车床; 30系统的数控铣床; FUNAC系统的数控床; 华中数控的镗床: 沈阳机床厂的数控加工中心; 各种普通的车床、铣床,龙门刨床。 四、数控工艺分析 1、零件工艺分析 (1)零件图上尺寸数据的给出,应符合程序编制方便的原则。 1)、零件图上尺寸标注方法应适应数控加工的特点在数控加工零件图上,应以同一基准引注尺寸或直接给出坐标尺寸。 2)、构成零件轮廓的几何元素的条件应充分,便于在手工编程时计算基点或节点坐标。(2)零件各加工部位的结构工艺性应符合数控加工的特点。 1)、零件的内腔和外形最好采用统一的几何类型和尺寸。这样可以减少刀具规格和换刀次数,使编程方便,生产效益提高。 2)、内槽圆角的大小决定着刀具直径的大小,因而内槽圆角半径不应过小。零件工艺性的好坏与被加工轮廓的高低、转接圆弧半径的大小等有关。 3)、零件铣削底平面时,槽底圆角半径r不应过大。 4)、应采用统一的基准定位。在数控加工中,若没有统一基准定位,会因工件的重新安装而导致加工后的两个面上轮廓位置及尺寸不协调现象。因此要避免上述问题的产生,保

EDA实验报告

实验一计数器设计 一、实验目的 计数器是实际中最为常用的时序电路模块之一,本实验的主要目的是掌握使用HDL描述计数器类型模块的基本方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.其他器材与材料若干 三、实验说明 计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或HDL语言完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。 四、实验要求 1.设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。 2.编制仿真测试文件,并进行功能仿真。 3.下载并验证计数器功能。 4.为上述设计建立元件符号。 5.在上述基础上设计计数器。 五、实验结果

十进制计数器程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter10 is port(en,reset,clk:in std_logic; q:buffer std_logic_vector(3 downto 0); co:out std_logic); end counter10; architecture behav of counter10 is begin process(clk,en) begin if clk'event and clk='1' then if reset='1' then q<="0000"; elsif en='1' then if q<"1001" then q<=q+'1'; else q<="0000"; end if; end if; end if; end process;

八位十进制分频器实验报告

重庆交通大学 信息科学与工程学院 综合性实验报告 姓 名: 赵娅琳 学 号 10950214 班 级: 通信工程专业2010级 2班 实验项目名称: 8位16进制频率及设计 实验项目性质: 设计性 实验所属课程: 数字设计基础 实验室(中心): 现代电子实验中心 指 导 教 师 : 李 艾 星 实验完成时间: 2012 年 6 月 18 日

教师评阅意见: 签名:年月日 实验成绩: 一、课题任务与要求 1、验证8位16进制频率计的程序; 2、根据8位16进制频率计的程序设计8位10进制频率计; 二、设计系统的概述 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率,通常情况下计算每秒内待测的脉冲个数,此时闸门时间为1秒,闸门时间也可以大于或小于1秒。频率信号易于传输,抗干扰性强,可以获得较好的测量精度。 数字频率计的关键组成部分包括一个测频控制信号发生器、一个计数器和一个锁存器,另外包括脉冲发生器、姨妈驱动电路和显示电路。 工作过程:系统正常工作时,脉冲信号发生器输入1HZ的标准信号,经过测频控制信号发生器的处理,2分频后即可产生一个脉宽为1秒的时钟信号,以此作为计数闸门信号。测量信号时,将被测信号通过计数器作为时钟。当技术闸门信号高平有效时,计数器开始计数,并将计数结果送入锁存器中。设置锁存器的好处是现实的数据稳定,不会由于周期的清零信号而不断闪烁。最后将所存的数值由外部的译码器并在数码管上显示。 三、单元电路的设计与分析(重点描述自己设计部分) 1、八位十进制计数顶层模块设计 (1)、此模块是元件例化语句将各个元件(测频控制模块、十进制计数模块、测频锁存器模块)连接形成一个整体,完成最终频率计所期望的功能。

工作报告之数控铣床实验报告

数控铣床实验报告 【篇一:数控铣床实验报告】 数控铣床实训报告 一、实训目的: 1、熟悉数控实训车间安全管理规定; 2、了解数控铣床的基本结构、工作原理及其工作方法,学会正确的操作铣床; 3、熟练掌握系统面板及操作界面的使用; 、 4、掌握数控机床编程方法。 二、实训设备与材料: 铣床:大连xd-40a 刀具:平底铣刀 测量工具:游标卡尺 刀具:平口虎钳 材料:石蜡、木板 绘图工具:autocad绘图软件 ~ 三、实训内容: 1、在实训老师的指导下,了解数控铣床的结构特点,铣床的工作原理及其工作方法。 2、学会编辑并运行程序,最后加工成品。

四、操作步骤: 1、用autocad绘图软件绘出工件模型,并标出各点坐标。 2、对刀,并设定工作坐标系。 3、编写程序,在程序编辑模式下输入程序 4、用计算机仿真,若仿真结果出现错误,则需要再次修改程序,直至结果正确。此时需重新启动数控面板,接着重复步骤2。若仿真结果与所期望的图形一致,则新启动数控面板,接着重复步骤2。 ) 5、切削加工。 6、工件完成后将x、y、z轴复位。接着关闭数控面板电源,再关闭铣床电源。 五、操作注意事项: 1、在对刀过程中xyz轴向一定要清楚,头晕或状态不好时不要去操作操作机床,以免发生意外。在对刀过程中手摇器倍率要调节好,靠近工件的时候一定要把倍率调小,这样可以保证安全和确保更高的对到精确度。 2、操作时要注意刀具有半径补偿,故设计零件时要注意临界值,并注意刀补的方向。 3、铣床操作过程中出现警报时,要及时查找出错原因,切忌不可重启机子解决此问题,否则将出现同样情况。 4、编辑完程序后需要在模拟后保证安全的情况下才能进行加工,在模拟完后要进行加工时务必要先清零,而且要保证回零完全。 六、附录 * 哑铃程序:

电子琴实验报告乐曲硬件演奏电路设计本科论文

武夷学院实验报告 课程名称:逻辑设计与FPGA 项目名称: 乐曲硬件演奏电路设计 ______________ 姓名: 专业:微电子学 班级:14微电子 学号:04实验日期 、 实验预习部分: 1. 实验目的: 学习利用数控分频器设计硬件乐曲演奏电路。 2. 实验原理: 综合利用数控分频器、LPM ROMPLL 等单元电路设计硬件乐曲演奏电路。系统框图如图 1 所示由三个模块组成,分别为 NOTETABSTONETABASPEAKERA NOTETAB 模块(把教材图9-4中的CNT138T 和MUSIC 模块合在一起即为此模块)类似于弹 琴人的手指;TONETAB 模块类似于琴键;SPEAKER 类似于琴弦或音调发生器。 音符的频率由SPEAKER 模块(与教材图9-4中的SPKEF 模块对应)获得,这是一个数控分 频器。由其CLK 端输入一具有较高频率(12MHZ 的信号,通过SPEAKER 分频后由SPKOU 输出。 SPEAKER 对CLK 输入信号的分频比由11位预置数TONE[10..0]决定。SPKOU 的输出频率将决定 每一音符的音调,这样,分频计数器的预置值 TONE[10..0]与SPKOU 的输出频率就有了对应关 系。例如在TONETAB 模块(与教材图9-4中的F_COD 模块对应)中若取 TONE[10..0] = 1036, 图1硬件乐曲演奏电路结构框图 i.;E-Z

将发出音符“ 3”音的信号频率。

实验预习成绩(百分制)____________________ 实验指导教师签字:_________________________

锁相环pll工作原理及verilog代码

锁相环的组成和工作原理 #1 1.锁相环的基本组成 . 许多电子设备要正常工作, 通常需要外部的输入信号与内部的振荡信 许多电子设备要正常工作, 号同步,利用锁相环路就可以实现这个目的。 号同步,利用锁相环路就可以实现这个目的。 锁相环路是一种反馈控制电路, 锁相环路是一种反馈控制电路,简称锁相环 )。锁相环的特点是 (PLL)。锁相环的特点是:利用外部输入的 )。锁相环的特点是: 参考信号控制环路内部振荡信号的频率和相 位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪, 所以锁 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪, 相环通常用于闭环跟踪电路。锁相环在工作的过程中, 相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出 于闭环跟踪电路 信号的频率与输入信号的频率相等时, 信号的频率与输入信号的频率相等时,输出电压与输入电压保 持固定的相位差值,即输出电压与输入电压的相位被锁住,这 持固定的相位差值,即输出电压与输入电压的相位被锁住, 就是锁相环名称的由来。 就是锁相环名称的由来。 ( ) 锁相环通常由鉴相器 PD) 环路滤波器 LF) 、 ( ) 和压控振荡器 VCO) ( ) 三部分组成, 所示。 三部分组成,锁相环组成的原理框图如图 8-4-1 所示。 锁相环中的鉴相器又称为相位比较器, 它的作用是检测输入信号和输 锁相环中的鉴相器又称为相位比较器, 出信号的相位差,并将检测出的相位差信号转换成 uD(t)电压信号 出信号的相位差, ) 输出, 该信号经低通滤波器滤波后形成压控振荡器的控制电压 u(t) 输出, , C ) 对振荡器输出信号的频率实施控制。 对振荡器输出信号的频率实施控制。 施控制 2.锁相环的工作原理 . 锁相环中的鉴相器通常由模拟乘法器组成, 利用模拟乘法器组成的鉴 锁相环中的鉴相器通常由模拟乘法器组成, 相器电路如图 8-4-2 所示。 所示。

VHDL非整数分频器设计实验报告

非整数分频器设计 一、 输入文件 输入时钟CLK: IN STD_LOGIC 二、 设计思路 1. 方法一:分频比交错 (1) 确定K 值 先根据学号S N 确定M 和N :为了保证同学们的学号都不相同,取学号的后四位,即N S =1763 ()mod 1920(mod 17)0 17mod 17 S S S N N if N then M else M N =+=== 由以上公式,得N=(1763 mod 19)+20=35 M=(1763 mod 17)=12 然后根据下式计算分频比K 的值: 8()9N M M K N -+= = =8.34285714 (2) 确定交错规律 使在35分频的一个循环内,进行12次9分频和23次8分频,这样,输出F_OUT 平均为F_IN 的8.34285714分频。为使分频输出信号的占空比尽可能均匀,8分频和9分频应‘交替’进 (3) 设计框图:要求同步时序设计

(4)代码 在实体内定义两个进程(PROCESS P1和PROCESS P2),一个进程控制输出8/9分频,一个进程控制35分频周期比例输出。控制器输出FS_CTL信号控制输出是8分频还是9分频,分频器输出C_ENB信号来控制35分频计数器计数。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV IS--定义实体,实体名DIV PORT(F_IN: IN STD_LOGIC;--输入时钟信号 F_OUT: OUT STD_LOGIC--输出时钟信号 ); END DIV; ARCHITECTURE A OF DIV IS SIGNAL CN1: INTEGER RANGE 0 TO 7;--8分频计数器 SIGNAL CN2: INTEGER RANGE 0 TO 8;--9分频计数器 SIGNAL CN: INTEGER RANGE 0 TO 34;--整体计数器 SIGNAL C_ENB: STD_LOGIC;--整体计数器时钟驱动信号 SIGNAL FS_CTL: STD_LOGIC;--控制8、9分频比例信号,高电平8分频,低电平9分频 BEGIN P1:PROCESS(F_IN)--8、9分频计数进程 BEGIN IF (F_IN'EVENT AND F_IN='1') THEN IF(FS_CTL='0') THEN--9分频 IF CN2=8 THEN--计数 CN2<=0; ELSE CN2<=CN2+1; END IF; IF CN2>4 THEN--控制输出,占空比0.5 F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN2=8 THEN--控制整体计数器驱动信号 C_ENB<='1'; ELSE C_ENB<='0'; END IF; ELSE IF CN1=7 THEN--8分频计数,同上 CN1<=0; ELSE CN1<=CN1+1; END IF; IF CN1>3 THEN F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN1=7 THEN C_ENB<='1'; ELSE C_ENB<='0'; END IF; END IF; END IF; END PROCESS P1; P2:PROCESS(C_ENB)--整体计数进程 BEGIN IF (C_ENB'EVENT AND C_ENB='1') THEN--由驱动信号驱动 IF CN=34 THEN--计数 CN<=0; ELSE CN<=CN+1; END IF; IF (CN=34 OR CN=2 OR CN=5 OR CN=8 OR CN=11 OR CN=14 OR CN=17 OR CN=20 OR CN=23 OR CN=26 OR CN=29 OR CN=32) THEN FS_CTL<='0'; ELSE FS_CTL<='1'; END IF;--8、9分频比例分配 ELSE CN<=CN; END IF; END PROCESS P2; END A;

数控车床操作实验报告

目的 1、了解数控车床机械结构 2、了解数控车床的基本操作 3、掌握简单零件的数控加工 原理 数控车床是一种高度自动化的机床,在加工工艺与加工表面形成方法上与普通机床是基本相同的,最根本的不同在于实现自动化控制的原理与方法上。数控车床是用数字化的信息来实现自动化控制的,将与加工零件有关的信息——工件与刀具相对运动轨迹的尺寸参数(进给执行部件的进给尺寸),切削加工的工艺参数(主运动和进给运动的速度、切削深度等),以及各种辅助操作(主运动变速、刀具更换、冷却润滑液关停、工件夹紧松开等)等加工信息用规定的文字、数字、符号组成的代码按一定的格式编写成加工程序单,将加工程序通过控制介质输入到数控装置中,由数控装置经过分析处理后,发出各种与加工程序相对应的信号和指令控制机床进行自动加工 仪器设备 数控车床、塑料棒料 步骤 1、开机,打开机床照明 2、输入程序后并检查加工轨迹 3、装夹工件,检查刀具 4、试切法对刀并验刀 5、调出程序,自动加工 6、手动切断 7、打扫机床并关机 实验过程原始记录 1、上电循环系统启动(解锁状态)打开照明 2、装工件、刀具(45mm的零件需要80-100mm的伸长量)选择35°外圆车刀换刀(在MDI模式下点prog选择1号刀,并输入) 3、仿真(目的检查程序是否准确)CSTM/GR→圆形→操作→head(自动)→执行 4、对刀定参考点坐标右端面,外圆(0,0) MDI→prog→M03S500 插入 循环启动,在手摇模式下切断面(x100:表示一个脉冲走0.1mm)显示坐标:pos 拨扭向上是x方向,下是z方向,切的时候切到一点就好 切削加工过程时倍率的选择(x25)顺时针转动轮盘为退刀,逆为切削 offset→磨耗→清零→输入→形状(注意看清与选择刀具一致) 番号01 z0.0 测量顺时针摇出 Pos(调坐标) 切外圆(x25)注意摇出时x坐标不变 Reset 复位记录27.6mm 补正→形状→x→输入→测量→检测 5、编辑→prog→程序→自动倍率为0时是为了定位 →复位→自动→倍率打开,开始切削 6、合上门,待加工结束 7、关机 实验结果及分析 数控车床主要用于加工轴类、盘套类等回转体零件,能够通过程序控制自动完成内外圆柱面、锥面、圆弧、螺纹等工序的切削加工,并进行切槽、钻、扩、铰孔等工作,一次装夹中可以

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

锁相环PLL及APBDIV

第十五篇锁相环PLL及APBDIV 关于锁相环的内容早就应该涉及,因为之前的定时器计数周期,和之后的串口波特率、AD转换频率等都与此相关。 锁相环的英文名为:Phase Locked Loop,其实它的作用就是一个倍频器,它把外部晶振频率(Fosc)加倍后得到系统时钟(Fcclk)给CPU使用,即:Fcclk=Fosc*M,这个M值是可设定的,它就是寄存器PLLCFG 的低五位决定,但M值不能随意设定,比如LPC2103的Fcclk不能超过60MHz。 还有一个频率叫Fcco,它是PLL内部的CCO(电流控制振荡器current controlled oscillator)的频率,Fcco=Fcclk*2*P,P由PLLCFG[6:5]决定,我们不要对它有过多注意力,因为外围电路和Fcco无关,CCO 只是为了保证PLL正常工作且在其内部的一个部件(想知道具体机制查相关资料),我们唯一要做的是使Fcco处于正常的范围(LPC2000系列ARM的CCO要求工作在156~320MHz)之内就行了。 还有,Fpclk是我们必须注意的,芯片外设工作于PCLK(APB clock),(APB和AHB是外围电路中的两种总线,AHB速度快,用于VIC;APB用于其它外设:定时器、串口等),PCLK由FCCLK分频得到(通过APB分频器),分频值由寄存器APBDIV[1:0]决定,其它位保留。 上述频率的关系如下图: 下面具体说明程序中应该如何设置这些频率值: 首先说一下这些倍数的配置寄存器: 所谓M由PLLCFG[4:0]决定,并不是二者相等,而是M=PLLCFG[4:0]+1; 还有P=2^PLLCFG[6:5](2的n次幂); 还有: APBDIV[1:0]=00,Fcclk/Fpclk=1/4; APBDIV[1:0]=01,Fcclk/Fpclk=1; APBDIV[1:0]=10,Fcclk/Fpclk=1/2; APBDIV[1:0]=11,保留。

EDA分频器实验教案

实验三:整数分频器的设计 一实验目的 了解利用类属设计可配置的重用设计技术。 二实验原理 利用类属n 可以改变分频器的分频系数,输出q的频率是输入信号clk频率的1/n。通过给n赋不同的值,就可以得到需要的频率。 三实验器材 EDA实验箱 四实验内容 1.参考教材第5章中5.3.1,完成整数分频器的设计。 2.修改5. 3.1中的分频系数n,观察仿真波形和实验箱输入/输出信号的变化。 3.在试验系统上硬件验证分频器的功能。 在实验三中,建议将实验箱的实验电路结构模式设定为模式7。输入信号clk由键7的输出来模拟,输入信号reset_n由键8模拟,输出信号q接至LED:D14。当然,也可以由实验者设定到其它功能相似的键或者LED。

代码:(参考教材第5章中5.3.1) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div is generic(n:integer:=8); port(clk,reset_n:in std_logic; q: out std_logic); end div; architecture behavl_div of div is signal count:integer range n-1 downto 0; begin process(reset_n,clk) begin if reset_n='0' then q<='0'; count<=n-1; elsif(clk'event and clk='1' and clk'last_value='0')then count<=count-1; if count>=(n/2)then q<='0'; else q<='1'; end if; if count<=0 then count<=n-1; end if; end if; end process; end behavl_div; 五实验注意事项 1.编写代码时一定要书写规范 2模式的选择和引脚的配置一定要合理 六实验报告 简述实验过程,将实验项目分析设计,仿真和测试写入实验报告。

数控技术实验报告(答案版)

数控技术实验报告 学院 班级 学号 姓名 成绩 井冈山大学机械系 2010年03月

注意事项 数控操作实验是数控技术课程的组成部分之一,对于培养学生理论联系实际和实际动手能力具有极其重要的作用。因此,要求每个学生做到: 一、每次实验前要认真预习,并在实验报告上填写好实验目的和所用 实验设备; 二、实验前,每人必须配合实验指导老师在实验室记录本上做好相关 记录; 三、实验中要遵守实验规则,爱护实验设备,仔细观察实验现象,认 真记录实验数据; 四、在实验结束离开实验室前,必须认真仔细清点整理实验仪器和实 验设备,经实验指导教师检查后后方可离开实验室; 五、实验结束后,要及时对实验数据进行整理、计算和分析,填写好 实验报告,并上交授课教师批阅。

实验一数控车床的认识 实验日期 2010 年 04 月 10 日 同组成员指导教师(签字) 一、实验目的 1.了解数控车削加工工件坐标的设定方法; 2.掌握数控车床操作面板上常用按键的功能; 3.掌握数控车床的基本操作方法。 二、实验设备(规格、型号) 1.CAK3675V数控车床; 2.CAK3275V数控车床; 3.Fanuc 0i-mate TC数控系统。 三、实验记录及数据处理 1. 熟悉Fanuc 0i前置刀架数控车床的操作面板,依次解释、、、、、 、、、、、、、、、、、、、、、、、、、、、、等的功能。 依次的功能为: 按键功能按键功能 显示坐标位置。显示程序屏幕。 显示偏置/设置屏幕。取消键,用于删除最 后一个进入输入缓 存区的字符或符号。

输入键,用于输入工件偏移 值、刀具补偿值(纯数值), 如。 显示用户宏程序/图 形轨迹显示 替换键。插入键,输入指令 字、程序段,如。删除键。复位键,用于使CNC 复位或取消报警等。自动运行远程执行 编辑手动 MDI手动脉冲 单节手动脉冲 单节忽略 主轴正转、停止、反 转控制按钮 选择性停止循环启动 机械锁定循环停止

数控分频器实验报告

《数控分频实验》 姓名:谭国榕班级:12电子卓越班学号:201241301132 一、实验目的 1.熟练编程VHDL语言程序。 2.设计一个数控分频器。 二、实验原理 本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。 三、实验步骤 1.任意奇数分频 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV1 IS PORT(CLK:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; K1,K2,K_OR:OUT STD_LOGIC ); END; ARCHITECTURE BHV OF DIV1 IS SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; --SIGNAL OUT1,OUT2,OUT3:STD_LOGIC; BEGIN PROCESS(CLK,TEMP3) BEGIN IF RISING_EDGE(CLK) THEN IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF; IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF; END PROCESS; PROCESS(CLK,TEMP4) BEGIN IF FALLING_EDGE(CLK) THEN IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF; IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

vhdl实验报告--蜂鸣器

VHDL 实验报告 一、实验目的 1、掌握蜂鸣器的使用; 2、通过复杂实验,进一步加深对VHDL语言的掌握程度。 二、实验原理乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,乐曲中每个音符的发音频率及其持续的时间是乐曲能够连续演奏的两个关键因素。 乐曲的12 平均率规定:每2 个八度音(如简谱中的中音1 与高音1)之间的频率相差1 倍。在2个八度音之间,又可分为12个半音。另外,音符A(简谱中的低音6)的频率为440Hz, 音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音I至高音1 之间每个音符的频率,如表所示。 音名频率/Hz 音名频率/Hz 音名频率/Hz 低音1 中音1 高音1 低音2 中音2 高音2 低音3 中音3 高音3 低音4 中音4 高音4 低音5 392 中音5 784 高音5 1568 低音6 440 中音6 880 高音6 1760 低音7 中音7 高音7 表简谱音名与频率的对应关系 产生各音符所需的频率可用一分频器实现, 由于各音符对应的频率多为非整数, 而分频系数又不能为小数, 故必须将计算得到的分频数四舍五入取整。若分频器时钟频率过低, 则由于分频系数过小, 四舍五入取整后的误差较大;若时钟频率过高,虽然误差变小,但分频数将变大。实际的设计应综合考虑两方面的因素, 在尽量减小频率误差的前提下取合适的时钟频率。实际上,只要各个音符间的相对频率关系不变,演奏出的乐曲听起来都不会走调。 音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。因此, 要控制音符的音 长,就必须知道乐曲的速度和每个音符所对应的节拍数, 本例所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为秒,则只需要提供一个4HZ的时钟频率即可产生四分音符的时长。 本例设计的音乐电子琴选取40MHZ的系统时钟频率。在数控分频器模块,首先对时钟频率进行40分频,得到1MHZ的输入频率,然后再次分频得到各音符的频率。由于数控分频器 输出的波形是脉宽极窄的脉冲波, 为了更好的驱动蜂鸣器发声, 在到达蜂鸣器之前需要均衡占空比, 从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频, 频率变为原来的二分之一即。 因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为 523. 3Hz,它的分频系数应该为: 0.375MHZ 0.375 106 716 523.3 523.3

PLL 锁相环原理

什么是锁相环(PLL)工作原理及对硬件电路连接的要求锁相环是一种反馈电路,其作用是使得电路上的时钟和某一外部时钟的相位同 步。PLL通过比较外部信号的相位和由压控晶振(VCXO)的相位来实现同步的,在 比较的过程中,锁相环电路会不断根据外部信号的相位来调整本地晶振的时钟相位,直到两个信号的相位同步。 在数据采集系统中,锁相环是一种非常有用的同步技术,因为通过锁相环,可以使得不同的数据采集板卡共享同一个采样时钟。因此,所有板卡上各自的本地80MHz 和20MHz时基的相位都是同步的,从而采样时钟也是同步的。因为每块板卡的采样时钟都是同步的,所以都能严格地在同一时刻进行数据采集。 通过锁相环同步多块板卡的采样时钟所需要的编程技术会根据您所使用的硬件板卡的不同而不同。对于基于PCI总线的产品(M系列数据采集卡,PCI数字化仪等),所有的同步都是通过RTSI总线上的时钟和触发线来实现的;这时,其中一块版板卡会作为主卡并且输出其内部时钟,通过RTSI线,其他从板卡就可以获得这个用于同步的时钟信号,对于基于PXI总线的产品,则通过将所有板卡的时钟于PXI内置的 10MHz背板时钟同步来实现锁相环同步的。 锁相环(PLL)的工作原理 1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。 锁相环路是一种反馈控制电路,简称锁相环(PLL,Phase-Locked Loop)。锁相环的特点是:利用外部输入的参考信号控制环路内部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。 锁相环通常由鉴相器(PD,Phase Detector)、环路滤波器(LF,Loop Filter)和压控振荡器(VCO,Voltage Controlled Oscillator)三部分组成,锁相环组成的 原理框图如图8-4-1所示。 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出信号的相位差,并将检测出的相位差信号转换成u D(t)电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控制电压u C(t),对振荡器输出信号的频率实施控制。

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

相关文档
最新文档