数字逻辑电路实验

数字逻辑电路实验
数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试

1.1.1 实验目的

(1)掌握数字电路实验仪器的使用方法。

(2)掌握门电路逻辑功能的测试方法。

1.1.2 实验设备

双踪示波器一台

数字电路实验箱一台

万用表一块

集成芯片:74LS00、74LS20

1.1.3 实验原理

图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。

其逻辑表达式为:=?

Y A B

图1.2 74LS20引脚排列图图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。

Y A B C D

其逻辑表达式为:=???

与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。

1.1.4 实验内容及步骤

(1)测量逻辑开关及电平指示功能

用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源

给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。

(3)检测译码显示器

用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。

(4)与非门逻辑功能测试

①逻辑功能测试

将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。

表1.2

将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。

1.1.5 预习要求与思考题

(1)阅读实验原理、内容及步骤。

(2)了解集成芯片引脚的排列规律。

(3)TTL集成电路使用的电源电压是多少?

(4)TTL与非门输入端悬空相当于输入什么电平?为什么?

(5)如何处理各种门电路的多余输入端。

1.1.6 实验报告及要求

(1)画出规范的测试电路图及各个表格。

(2)记录测试所得数据,并对结果进行分析。(3)简述实验中遇到的问题及解决方法。

1.2 TTL集电极开路门和三态门

1.2.1 实验目的

(1)了解负载电阻R L对集电极开路门工作状态的影响。

(2)掌握集电极开路门的使用方法。

(3)掌握三态门的逻辑功能及使用方法。

1.2.2 实验设备

双踪示波器一台

数字电路实验箱一台

万用表一块

集成芯片:74LS03、74LS125、74LS04、74LS00、电阻、发光二极管等。

1.2.3 实验原理

(1)集电极开路门(OC门)

在数字系统中,有时需要把两个或者两个以上门电路的输出端连接起来,去完成一定的逻辑功能。但普通TTL门电路的输出端是不允许直接连接的,因为它们的输出部分是推拉式电路。

集电极开路门就是将推拉式输出改为三极管集电极开路输出的特殊TTL门电路。图1.3是集成芯片的引脚图。OC门共用一个集电极负载电阻R L和电源V CC,从而可将n个OC门的输出端并联使用,并使n个OC门的输出相与(称为线与),而完成与或非的逻辑功能,如图1.4所示,显然,n个OC门的输出端连接在一起,只要其中有一个OC门的输出端为“0”,Y就为“0”。只有n个OC门的输出均为“1”时,Y

Y

图1.3 74LS03引脚排列图

图1.4

(2)三态门(TSL门)

三态门也是一种能实现线与连接的门电路。它除了通常的高电平和低电平两种输出状态外,还有第三种输出状态—高阻态。处于高阻态时,电路与负载之间相当于开路。

图1.5 三态门逻辑符号 图1.6 三态门逻辑符号

图1.5表示控制端(又称使能端)EN =1时,三态门处于正常工作状态,实现.Y A B =的功能;EN =0时为禁止工作状态,Y 输出呈高阻状态。

图1.6表示控制端0EN =时,三态门处于正常工作状态,实现.Y A B =的功能;1EN =时为禁止工作状态,Y 输出呈高阻状态。

图1.7是74LS125(4

总线缓冲器)的引脚图。当0EN =时,Y =A ;当1EN =时,Y 呈高阻状态。

1.2.4实验内容及步骤

(1)验证OC 门的线与功能

如图1.8所示,将1A 、1B 、2A 、2B 分别接数据开关,当发光二极管发光时,Y 点处于低电平,状态为0;当发光二极管不发光时,Y 点处于高电平,状态为1。

A A

B A

图1.8

按表1.3中不同的输入状态组合输入信号,观察Y 点的状态,并记录在表中。

表1.4

(2)三态门逻辑功能测试

在74LS125中任选一个三态门,A 、E N 端分别接数据开关,Y 接电平指示,测试其功能,将结果填入表1.4中。

(3)选通电路

用两个三态门和一个非门(见图1.9)组成一个选通电路,如图1.10所示。1A 、1E N 、2A 分别接数据开关,

Y 接电平指示。按表1.5中的不同状态输入,同时观察Y 的状态并填入表中。根据结果分析选通电路的工作原理。

图1.9 74LS04引脚图

图1.10

(4)单向总线传输

如图1.11所示,1 A 接脉冲信号,2 A 接数据开关并置“0”,3 A 、1E N 、2E N 、3E N 分别接数据开关并均置“1”。然后按表1.6中的不同状态输入(分别将一个使能端置“0”),

Y

同时观察Y 的状态并填入表中。

表1.5

图1.11

表1.6

1.2.5 预习要求与思考题

(1)掌握集成芯片引脚的排列规律。 (2)理解OC 门、三态门的逻辑功能。

(3)OC 门、三态门各有哪些特点?

(4)多个三态门输出端并联使用时,为什么不能同时有两个或两个以上三态门的控制端处在使能状态?应如何避免?

1.2.6 实验报告及要求

(1)画出各实验电路图及相关表格。

(2)记录和处理所得测试数据,并对结果进行分析。 (3)简述实验中遇到的问题及解决方法。

1.3 数据选择器和译码器

1.3.1 实验目的

(1)掌握MSI数据选择器的逻辑功能及其使用方法。

(2)掌握MSI译码器的逻辑功能及其使用方法。

1.3.2 实验设备

数字电路实验箱一台

万用表一块

集成芯片:74LS153、74LS151、74LS138。

1.3.3 实验原理

中规模集成电路(MSI)是一种具有专门功能的集成功能件。我们可借助于器件手册提供的功能表和引脚排列图,在明确各引脚(特别是各控制输入端)的功能和作用后,即可正确的使用这些器件。在使用MSI集成功能件时,器件的各控制输入端应严格按照选用的逻辑要求接入电路,决不允许悬空处理。

(1)数据选择器

在数字信号的传送过程中,有时需要从若干个数字信号中将其中任一个需要的信号挑选出来,这就要用到数据选择器(又叫多路选择器或多路开关)。其基本功能是:在选择信号的控制下,从多路输入数据中选择一路数据作为输出。数据选择是数据分配的逆过程,功能恰好相反。

图1.12是双4选1数据选择器74LS153的引脚图。其中D0、D1、D2、D3是4个数据输入端,Y为输出端,ST是使能端。当ST=0时器件使能,当ST=1时,Y=0。A0、A1是两4选1数据选择器的公用地址控制输入端,当ST=0时,通过A0、A1的四种状态来控制D0~D3 4个数据哪一个被选中并送到输出端Y。

图1.12 74LS153引脚图图1.13 74LS151引脚图图1.13是8选1数据选择器74LS151的引脚图。其中D 0~D 7是8个数据输入端,Y、Y

是输出端,ST是使能端,A0、A1、A2是地址控制输入端。当ST=0时,通过A2、A1、A0的

八种状态来控制D 0 ~ D 7 8个数据哪一个被选中并送到输出端。

(2)译码器

译码器是一个多输入、多输出的组合逻辑器件,可用于代码的转换、终端的数字显示、

数据分配及组合控制信号等等。译码器可分为:

变量译码器(又称二进制译码器),用以表示输入变量的状态。如3线-8线、4线-16线译码器等;

代码变换译码器,用于一个数据的不同代码之间的相互转换。如4线-10线译码器等;

显示译码器,用来将数字或文字、符号的代码译成数字、文字、符号的电路。如BCD

码-十进制译码器等。

图1.14是3线-8线译码器74LS138的引脚图,其中A0、A1、A2是地址控制输入端,Y0~Y7是译码输出端,ST A、ST B、ST C是使能端,当ST A=1、ST B+ST C=0时,器件使能。由A2A1A0状态控制的输出端有信号输出(为0),其他所有输出端均无信号输出(全为1)。当ST A =0、ST B+ST C=×(任意状态)时或者ST A =×、ST B+ST C=1时,译码器被禁止,所有输出端同时为1。

图1.14 74LS138引脚图

如果利用二进制译码器使能端中的一个作为输入数据信息的输入端,该译码器就成了一

个数据分配器(又称多路分配器)。

1.3.4 实验内容及步骤

(1)测试4选1数据选择器74LS153的逻辑功能

参照图1.12,将4选1数据选择器的D0、D1、D2、D3、A0、A1、ST分别接数据开关,Y接电平指示,按表1.7的各种输入状态进行测试,将输出Y的状态填入表中。

(2)测试8选1数据选择器74LS151的逻辑功能

参照图1.13,按表1.8进行测试,结果填入表中。

(3)测试3线-8线译码器74LS138的逻辑功能

参照图1.14,按表1.9进行测试,结果填入表中。

表1.9 74LS138功能表

(4)数据选择器和译码器的应用

如图1.15所示,用数据选择器和译码器组成一个信号传输电路。

①按图接好电路,测试并说明电路的功能。

②分析电路的工作原理。

③在D 7、D 6、…、D 0端加信号10101010,变化A 2 A 1 A 0,观察Y 7、Y 6、Y 5、…、Y 0

的状态。

74LS151 74LS138

数据选择器 译码器

图 1.15 1.3.5 预习要求与思考题

(1)阅读实验原理、内容及步骤。

(2)理解地址控制输入端、使能端的作用。

(3)MSI 器件的各控制输入端能否悬空?为什么?

(4) 如何用两个4选1数据选择器和一个或门、一个非门构成一个8选1数据选择器? 1.3.6 实验报告及要求

(1)画出有关实验电路图和表格。

(2)自拟1.3.4实验步骤(4)的测试方法和测试步骤。

1234567

Y

Y Y Y Y Y Y Y

D 0

D 1

D 2 D 3

D 4

D 5

D 6

A 2 A 1 A 0

1.4 比较器和加法器

1.4.1 实验目的

(1)掌握MSI 比较器的逻辑功能。 (2)掌握MSI 加法器的逻辑功能。 1.4.2 实验设备

数字电路实验箱 一台

万用表 一块

集成芯片:74LS04、74LS08、74LS51、74LS85、74LS283、74LS86。 1.4.3 实验原理

(1)比较器

在数字电路中经常需要将一些数据进行比较或分类。能够将两个同样位数的二进制数A 、B 进行比较并能判别其大小关系的逻辑器件,称为数值比较器。

两数比较的结果有三种:大于、小于或相等。

设定:L 表示A >B ;M 表示A <B ;G 表示A =B 。

图1.16是一位数值比较器的逻辑电路图,它由一个2-2输入与或非门(见图1.17)、两个与门(见图1.18)和两个非门构成。

图1.17 74LS51引脚图

图 1.16 图1.18 74LS08引脚图

当:A >B 时,L A B =?;

A <

B 时,M A B =?;

M

G

L

A =

B 时,G A B A B =?+?。

图1.19是MSI 4位数值比较器74LS85的引脚图。它可将两个4位二进制数A =A 3A 2A 1A 0

和B =B 3B 2B 1B 0进行比较。

当:F A >B 为1时,表示A >B ;

F A <B 为1时,表示A <B ; F A =B 为1时,表示A =B 。

图1.19 74LS85引脚图

为了使4位比较器能用于更多位的两个数值进行比较,74LS85芯片上还设置了A >B 、A <B 和A =B 三个控制输入端,以便让更低位的比较结果串行输入。如果仅用于两个4位数值比较,则需要将A >B 、A <B 两个输入端接低电平,将A =B 输入端接高电平。

(2)加法器

算术运算是数字系统的基本功能,四则运算是计算机中不可缺少的组成单元,而四则运算均可转化为加法运算,因此加法器就成了运算电路的核心。

图1.20是一位全加器的逻辑图。它是由一个2-2输入与或非门、一个非门和二个异或门(图1.21)所构成。两个1位数A i 、B i 和来自相邻低位的进位数C i 进行相加,本位的和数为

S i ,向相邻高位的进位数为C i +1,运算的逻辑表达式为:

=⊕⊕i i i i S A B C

1()i i i i i i C A B C A B +=⊕?+?

图1.22是4位二进制超前进位加法器74LS283的引脚图。两位4位二进制数A = A 3 A 2 A 1 A 0和B = B 3B 2B 1B 0相加,本位和为F =F 3F 2F 1F 0 。CI 0是来自相邻低位的进位数,CO 4是向相邻高位的进位数。

Ci Ai Bi

S i C i +1

1.4.4 实验内容及步骤

图1.22 74LS283引脚图

(1)测试2-2输入与或非门74LS51的逻辑功能

A 、

B 、

C 、

D 端按表1.10中的输入状态输入,观察输出端的结果并将结果填入表中。

(2)测试一位数值比较器的逻辑功能

按图1.16所示的逻辑电路接好实验电路。A 、B 端分别接数据开关,G 、L 和M 端分别接电平指示。A 、B 端按表1.11中的状态输入,观察比较结果,并将其填入表中。

(3)测试MSI 4位数值比较器74LS85的逻辑功能

参照图1.19将输入端A =B 接高电平,将输入端A >B 、A <B 接低电平,输出端F A =B 、F A >B 和F A <B 分别接电平指示。数值A = A 3A 2A 1A 0和B =B 3B 2B 1B 0按表1.12中A 、B 的数值

输入,观察输出端的结果并将其填入表中。再任意自选三组A 、B 的数值输入,记录比较结果,也填入表中。

(4)测试异或门74LS86的逻辑功能

参照图1.21接线,将结果填入表1.13中。

(5)测试一位全加器的逻辑功能

按图1.20所示的逻辑电路接好实验电路,输入端A i 、B i 和C i+1分别接数据开关,输出端S i 和C i+1分别接电平指示。按表1.14进行测试,并将结果填入表中。

表1.14

(6)测试4位二进制超前进位加法器74LS283的逻辑功能

参照图1.22接好实验电路,按表1.15进行功能测试,并将结果填入表中。再任意自选四组数值相加,记录结果填入表中。

表1.15

1.4.5预习要求与思考题

(1)试用串联方式进行数值比较器扩展,即用两个4位数值比较器构成一个8位数值比较器,画出其电路图。

(2)串行进位的4位二进制全加器和超前进位的4位二进制全加器在速度上有何差异?为什么?

1.4.6 实验报告及要求

(1)画出有关实验电路和表格。

(2)记录测试数据,并对结果进行分析。

1.5 触发器

1.5.1 实验目的

(1)掌握基本RS 触发器、JK 触发器和D 触发器的逻辑功能和测试方法。 (2)了解触发器逻辑功能的转换。 1.5.2 实验设备

双踪示波器 一台 数字电路实验箱 一台

万用表 一块

集成芯片:74LS112、74LS74、74LS00、74LS08、74LS86 。

1.5.3 实验原理

触发器是时序逻辑电路的基本单元,它能够存储一位二进制码,即具有记忆功能。触发器具有两个稳定状态,用来存储逻辑1和0,在输入信号作用下,两个稳定状态,可相互转换,输入信号消失后,能将建立起来的状态长久保存下来。

(1)基本RS 触发器

由两个与非门交叉耦合构成的基本RS 触发器,如图1.23所示,有两个互补的输出端Q 和Q ,规定Q 的状态为触发器的状态。Q =1时,触发器为1态;Q =0时,触发器为0态;当输入端加上信号,触发器变离原态时,叫做触发,所加的信号叫做触发脉冲。两个输入端中,

R 端叫做置0输入端或复位端,S 端叫做置1输入端或置位端。其特性方程为:

10

n n Q

S RQ R S +?=+??

=?? 使用时的情况:

1R S ==,输出保持。

0R S ==,约束。 R S ≠,Q 随S 变;

0R =、1S =,置0;1R =、,0S =,置1。

(2)主从JK 触发器

主从JK 触发器是在时钟脉冲CP 作用下,具有置0、置1、保持和翻转等逻辑功能的触发器。

图1.24是双下降沿JK 触发器74LS112的引脚图,该触发器在CP 脉冲的下降沿时触发,其中R 端为异步置0端,S 端为异步置1端。

其特性方程为:

10

n n n Q J Q K Q

RS +??=+?

=?? 使用时的情况:

1R S ==,正常工作。0R S ==,约束。

0R =、1S =,置0;1R =、,0S =,置1。 图1.23

(3)边沿D 触发器

边沿D 触发器是在时钟脉冲CP 作用下,具有置0、置1功能的触发器。 其特性方程为:

Q n +1 = D 使用时的情况:

1R S ==,正常工作。 0R S ==,约束。 0R =、1S =,置0;

1R =、0S =,置1。

图1.25

74LS74引脚图

图1.25是双上升沿D 触发器74LS74的引脚图,该触发器在CP 脉冲的上升沿时触发。 (4)触发器逻辑功能的转换

触发器按照功能的不同分成RS 、JK 、D 、T 、T /

5种类型,而在实际工作中经常需要利用手中仅有的单一逻辑功能的触发器去完成其他逻辑功能的触发器的功能,这就需要在逻辑功能上进行相互转换。就是将具有某种逻辑功能的触发器,在其信号输入端加接一逻辑转换电路,可完成另一待求触发器的逻辑功能。可见触发器逻辑功能的转换,就是求逻辑转换电路。

1.5.4 实验内容及步骤

(1)基本RS 触发器的逻辑功能测试

用两个2输入与非门构成如图1.23所示的基本RS 触发器。R 、S 端分别接数据开关,Q 、Q 端分别接电平指示。按表1.16中条件进行测试,并将结果填入表中。

S

R

(2)JK 触发器的逻辑功能测试

参照图1.24,将R 、S 端接高电平,J 、K 端分别接数据开关,Q 、Q 端分别接电平指示,CP 接单次脉冲。按表1.17中条件进行测试,并将结果填入表中。

表1.16 表1.17 表1.18

(3)D 触发器的逻辑功能测试

参照图1.25,将R 、S 端接高电平,D 端接数据开关,Q 、Q 端分别接电平指示,CP 接单次脉冲。按表1.18中条件进行测试,并将结果填入表中。

(4)触发器的应用

图1.26是用三个JK 触发器和一个与门构成的同步五进制加法计数器,按图接好实验电路,CP 接连续脉冲信号,清零(给R 端加入一个负脉冲)后,用双踪示波器对应CP 的波形,观察并记录Q 1、Q 2、Q 3的波形。

1.5.5 预习要求与思考题

(1)阅读实验原理、内容及步骤。 (2)理解各类触发器的逻辑功能。

(3)了解触发器如何进行逻辑功能的转换。

(4)用与非门构成的基本RS 触发器的约束条件是什么?如果改用或非门构成基本RS 触发器,其约束条件又是什么?

(5)什么是无抖动开关?机械开关能否用来做逻辑开关?为什么? 1.5.6 实验报告及要求

(1)画出有关实验电路和表格。

(2)记录测试数据,并对结果进行分析。

(3)简述图1.26同步五进制加法计数器的工作原理。

图1.26

Q Q 3

“1CP Q 1

Q 2 Q 3

1.6 计数器

1.6.1 实验目的

(1)掌握MSI同步计数器的逻辑功能及其使用方法。

(2)掌握MSI异步计数器的逻辑功能及其使用方法。

(3)熟悉MSI计数器的应用。

1.6.2 实验设备

双踪示波器一台

数字电路实验箱一台

万用表一块

集成芯片:74LS192、74LS290

1.6.3 实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源分,有同步计数器和异步计数器。根据计数制的不同有二进制、十进制和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。无论是TTL还是CMOS集成电路,现均有品种齐全的集成计数电路供使用者选用。

(1)同步计数器

MSI集成功能件74LS192是一种多功能的十进制同步加/减计数器(双时钟脉冲)。它是由四个主从T触发器和一些门电路组成。具有清除、保持、并行输入、加计数、减计数等多种功能。图1.27是其引脚图,表1.19是其功能表。

其中:CR是清零端;

CP U是递增计数脉冲输入端;

CP D是递减计数脉冲输入端;

LD是置数控制端;

C O是进位输出端;

BO是借位输出端;

实验一逻辑门电路的基本参数及逻辑功能测试

实验一逻辑门电路的基本参数及逻辑功能测试 一、实验目的 1、了解TTL与非门各参数的意义。 2、掌握TTL与非门的主要参数的测试方法。 3、掌握基本逻辑门的功能及验证方法。 4、学习TTL基本门电路的实际应用。 5、了解CMOS基本门电路的功能。 6、掌握逻辑门多余输入端的处理方法。 二、实验仪器 三、实验原理 (一) 逻辑门电路的基本参数 用万用表鉴别门电路质量的方法:利用门的逻辑功能判断,根据有关资料掌握电路组件管脚排列,尤其是电源的两个脚。按资料规定的电源电压值接 好(5V±10%)。在对TTL与非门判断时,输入端全悬空,即全 “1”,则输出端用万用表测应为以下,即逻辑“0”。若将其 中一输入端接地,输出端应在左右(逻辑“1”),此门为合格 门。按国家标准的数据手册所示电参数进行测试:现以手册中 74LS20二-4输入与非门电参数规范为例,说明参数规范值和测试条件。 TTL与非门的主要参数 空载导通电源电流I CCL (或对应的空载导通功耗P ON )与非门处于不同的工作状态,电 源提供的电流是不同的。I CCL 是指输入端全部悬空(相当于输入全1),与非门处于导通状态,

输出端空载时,电源提供的电流。将空载导通电源电流I CCL 乘以电源电压就得到空载导通功 耗P ON ,即 P ON = I CCL ×V CC 。 测试条件:输入端悬空,输出空载,V CC =5V。 通常对典型与非门要求P ON <50mW,其典型值为三十几毫瓦。 2、空载截止电源电流I CCh (或对应的空载截止功耗P OFF ) I CCh 是指输入端接低电平,输出端开路时电源提供的电流。空载截止功耗POFF为空载 截止电源电流I CCH 与电源电压之积,即 P OFF = I CCh ×V CC 。注意该片的另外一个门的输入也要 接地。 测试条件: V CC =5V,V in =0,空载。 对典型与非门要求P OFF <25mW。 通常人们希望器件的功耗越小越好,速度越快越好,但往往速度高的门电路功耗也较大。 3、输出高电平V OH 输出高电平是指与非门有一个以上输入端接地或接低电平的输出电平。空载时,输出 高电平必须大于标准高电压(V SH =);接有拉电流负载时,输出高电平将下降。 4、输出低电平V OL 输出低电平是指与非门所有输入端接高电平时的输出电平。空载时,输出低电平必须低于标准低电压(VSL=);接有灌电流负载时,输出低电平将上升。 5、低电平输入电流I IS (I IL ) I IS 是指输入端接地输出端空载时,由被测输入端流出的电流值,又称低电平输入短路 电流,它是与非门的一个重要参数,因为入端电流就是前级门电路的负载电流,其大小直 接影响前级电路带动的负载个数,因此,希望I IS 小些。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字电路与数字逻辑实验指导书

数字电路与数字逻辑 实验指导书

目录 实验一:Quartus II软件操作 (3) 实验二:数据选择器和译码器功能验证 (14) 实验三:数据选择器和译码器应用 (17) 实验四:触发器的应用 (19) 实验五:计数器的功能验证 (21) 实验六:计数器的应用 (22) 实验七:寄存器的功能验证 (23) 附录: (24)

实验一:Quartus II软件操作 实验目的和要求: 1、了解并掌握QuartusII软件的使用方法。 2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。 3、了解并掌握EDA QuartusII中的原理图设计方法。 实验内容: 本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。 图形编辑输入法也称为原理图输入设计法。用Quartus II的原理图输入设计法进行数字系统设计时,不需要了解任何硬件描述语言知识,只要掌握数字逻辑电路基本知识,就能使用QuartusII提供的EDA平台设计数字电路或系统。 QuartusII的原理图输入设计法可以与传统的数字电路设计法接轨,即把传统方法得到的设计电路的原理图,用EDA平台完成设计电路的输入、仿真验证和综合,最后编程下载到可编程逻辑器件(FPGA/CPLD)或专用集成电路(ASIC)中。实验步骤: 在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。 第1步:打开QuartusII软件。 第2步:新建一个空项目。 选择菜单File->New Project Wizard,进入新建项目向导。如下图所示,填入项目的名称“hadder”,默认项目保存路径在Quartus安装下,也可修改为其他地址,视具体情况而定。

实验一基本门电路的逻辑功能测试

实验一基本门电路的逻辑功能测试 一、实验目的 1、测试与门、或门、非门、与非门、或非门与异或门的逻辑功能。 2、了解测试的方法与测试的原理。 二、实验原理 实验中用到的基本门电路的符号为: 在要测试芯片的输入端用逻辑电平输出单元输入高低电平,然后使用逻辑电平显示单元显示其逻辑功能。 三、实验设备与器件 1、数字逻辑电路用PROTEUS 2、显示可用发光二极管。 3、相应74LS系列、CC4000系列或74HC系列芯片若干。 四、实验内容 1.测试TTL门电路的逻辑功能: a)测试74LS08的逻辑功能。(与门)000 010 100 111 b)测试74LS32的逻辑功能。(或门)000 011 101 111 c)测试74LS04的逻辑功能。(非门)01 10 d)测试74LS00的逻辑功能。(两个都弄得时候不亮,其他都亮)(与非门)(如果只接一个的话,就是非门)001 011 101 110 e)测试74LS02(或非门)的逻辑功能。(两个都不弄得时候亮,其他不亮)001 010 100 110 f)测试74LS86(异或门)的逻辑功能。 2.测试CMOS门电路的逻辑功能:在CMOS 4000分类中查询 a)测试CC4081(74HC08)的逻辑功能。(与门) b)测试CC4071(74HC32)的逻辑功能。(或门) c)测试CC4069(74HC04)的逻辑功能。(非门) d)测试CC4011(74HC00)的逻辑功能。(与非门)(如果只接一个的话,就是非门)

e)测试CC4001(74HC02)(或非门)的逻辑功能。 f) 测试CC4030(74HC86)(异或门)的逻辑功能。 五、实验报告要求 1.画好各门电路的真值表表格,将实验结果填写到表中。 2.根据实验结果,写出各逻辑门的逻辑表达式,并分析如何判断逻辑门的好坏。 3.比较一下两类门电路输入端接入电阻或空置时的情况。 4.查询各种集成门的管脚分配,并注明各个管脚的作用与功能。 例:74LS00 与门 Y=AB

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字逻辑电路实验实习31页word

实验指导 第1章数字逻辑电路实验常识 1.1、数字逻辑电路实验的一般要求 实验是数字逻辑电路课程重要的数学环节,通过实验不仅能巩固和加深理解所学的数字电子技术知识,更重要的是在建立科学实证思维方面,在掌握基本的测试手段和方法上,在电平检测,波形测绘、数据处理方面,为学生毕业后的岗位工作起到打基础的作用。尽管各个实验的目的和内容不同,但为了培养良好的学风,充分发挥学生的主观能动作用,促使其独立思考、独立完成实验并有所创新,我们对实验前、实验中和实验后分别提出如下基本要求: 1.1.1、实验前的要求 (1)认真阅读实验指导书,明确实验目的要求,理解实验原理,熟悉实验电路及集成芯片,拟出实验方法和步骤,设计实验表格。 (2)完成实验指导书中有关预习的相关内容。 (3) 初步估算(或分析)实验结果(包括各项参数和波形),写出预习 报告。 1.1.2、实验中的要求 (1) 参加实验者要自觉遵守实验室规则。 (2)严禁带电接线、拆线或改接线路。 (3)根据实验内容合理分置实验现场。准备好实验所需的仪器设备和装置并安放适当。按实验方案,选择合适的集成芯片,连接 实验电路和测试电路。

(4)要认真记录实验条件和所得各项数据,波形。发生小故障时,应独立思考,耐心排除,并记下排除故障过程和方法。实验过 程中不顺利,并不是坏事,常常可以从分析故障中增强独立工 作的能力。相反,实验“一帆风顺”不一定收获大,能独立解 决实验中所遇到的问题,把实验做成功,收获才是最大的。 (5)发生焦味、冒烟故障,应立即切断电源,保护现场,并报告指导老师和实验室工作人员,等待处理。 (6) 实验结束时,可将记录结果送有关指导老师审阅签字。经老师 同意后方可拆除线路,清理现场。 (7)室内仪器设备不准随意搬动调换,非本次实验所用的仪器设备,未经老师允许不得动用。没有弄懂仪器设备的方法前,不得贸 然使用。若损坏仪器设备,必须立即报告老师,作书面检查, 责任事故要酌情赔偿。 (8)实验要严肃认真,要保持安静,整洁的实验环境。 1.1.3、实验后的要求 实验后要求学生认真写好实验报告 1、实验报告的内容 (1)实验目的 (2)列出实验的环境条件,使用的主要仪器设备的名称编号,集成芯片 的型号、规格、功能。 (3)扼要记录实验操作步骤,认真整理和处理测试的数据,绘制实验原 理电路图和测试的波形,并列出表格或用坐标纸画出曲线。

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试 1.1.1 实验目的 (1)掌握数字电路实验仪器的使用方法。 (2)掌握门电路逻辑功能的测试方法。 1.1.2 实验设备 双踪示波器一台 数字电路实验箱一台 万用表一块 集成芯片:74LS00、74LS20 1.1.3 实验原理 图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。 Y A B 其逻辑表达式为:=? 图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。 Y A B C D 其逻辑表达式为:=??? 与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。 1.1.4 实验内容及步骤 (1)测量逻辑开关及电平指示功能 用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源 给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。 (3)检测译码显示器 用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。 (4)与非门逻辑功能测试 ①逻辑功能测试 将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。 表1.2 ②与非门对脉冲信号的反相传输及控制功能的测试 将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。 1.1.5 预习要求与思考题 (1)阅读实验原理、内容及步骤。 (2)了解集成芯片引脚的排列规律。 (3)TTL集成电路使用的电源电压是多少? (4)TTL与非门输入端悬空相当于输入什么电平?为什么? (5)如何处理各种门电路的多余输入端。 1.1.6 实验报告及要求 (1)画出规范的测试电路图及各个表格。

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

实验1数字逻辑电路设计

实验一组合逻辑电路设计 一、实验目的 1.掌握组合逻辑电路的功能测试 2.验证半加器与全加器的逻辑功能 3.学会二进制数的运算规律 二、实验器材 二输入四与非门74LS00 四输入二与非门74LS20 二输入四异或门74LS86 三、实验内容 内容A 一位全加/全减器的实现 电路做加法还是做减法由M控制。当M=0时做加法运算,M=1时做减法运算,当作为全加器输入信号A、B和Cin分别作为加数、被加数和低位来的进位,S为和数,C0向上位的进位。当作为全减器输入信号A、B和Cin分别作为减数、被减数和低位来的借位,S为差数,C0向上位的借位。 内容C 舍入与检测电路的设计 用所给定的集成电路组件设计一个多输出逻辑电路,输入为8421码.F1为四舍五入输入信号,F2为奇偶检测输出信号。当输入的信号大于或等于(5)10时,电路输出F1=1,其他情况为0;当输入代码中含1的个数为奇数是,输出F2=1,其他情况为0.框图如图所示:

四、实验步骤 内容A 一位全加/全减器的实现、 由要求得如下得: 真值表

化简得: S A B C =⊕⊕ ()()o C BC B S A C S A =?⊕?⊕ 由S 与C o 表达式画出电路图: 根据电路图,连接电路。接线后拨动开关,结果如图: 内容C 舍入与检测电路的设计 由题意得:

化简得: F A BC BD =?? 1 F A B C D =⊕⊕⊕ 2 由F1和F2表达式画出电路图 按照所示的电路图连接电路,将电路的输出端接实验台的开关,通过拨动开关输入8421代码,电路输出接实验台显示灯。每输出一个代码后观察显示灯,并记录结果如下表:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

10级《数字逻辑电路》实验指导书

课程名称:数字逻辑电路实验 指导书 课时:8学时

集成电路芯片 一、简介 数字电路实验中所用到的集成芯片都是双列直插式的,其引脚排列规则如图1-1所示。识别方法是:正对集成电路型号(如74LS20)或看标记(左边的缺口或小圆点标记),从左下角开始按逆时针方向以1,2,3,…依次排列到最后一脚(在左上角)。在标准形TTL集成电路中,电源端V 一般排在左上端,接地 CC ,7脚为GND。若集端GND一般排在右下端。如74LS20为14脚芯片,14脚为V CC 成芯片引脚上的功能标号为NC,则表示该引脚为空脚,与内部电路不连接。 二、TTL集成电路使用规则 1、接插集成块时,要认清定位标记,不得插反。 2、电源电压使用范围为+4.5V~+5.5V之间,实验中要求使用Vcc=+5V。电源极性绝对不允许接错。 3、闲置输入端处理方法 (1) 悬空,相当于正逻辑“1”,对于一般小规模集成电路的数据输入端,实验时允许悬空处理。但易受外界干扰,导致电路的逻辑功能不正常。因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。 (也可以串入一只1~10KΩ的固定电阻)或接至某一 (2) 直接接电源电压V CC 固定电压(+2.4≤V≤4.5V)的电源上,或与输入端为接地的多余与非门的输出端相接。 (3) 若前级驱动能力允许,可以与使用的输入端并联。 4、输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态。当R ≤680Ω时,输入端相当于逻辑“0”;当R≥4.7 KΩ时,输入端相当于逻辑“1”。对于不同系列的器件,要求的阻值不同。 5、输出端不允许并联使用(集电极开路门(OC)和三态输出门电路(3S)除外)。否则不仅会使电路逻辑功能混乱,并会导致器件损坏。 6、输出端不允许直接接地或直接接+5V电源,否则将损坏器件,有时为了使后级电路获得较高的输出电平,允许输出端通过电阻R接至V ,一般取R=3~ cc 5.1 KΩ。

组合逻辑电路实验报告.docx

篇一:培养基的制备与灭菌实验报告 陕西师范大学远程教育学院 生物学实验报告 报告题目培养基的制备与灭菌 姓名刘伟 学号 专业生物科学 批次/层次 指导教师 学习中心培养基的制备与灭菌 一、目的要求 1.掌握微生物实验室常用玻璃器皿的清洗及包扎方法。 2.掌握培养基的配置原则和方法。 3.掌握高压蒸汽灭菌的操作方法和注意事项。 二、基本原理 牛肉膏蛋白胨培养基: 是一种应用最广泛和最普通的细菌基础培养基,有时又称为普通培养基。由于这种培养基中含有一 般细胞生长繁殖所需要的最基本的营养物质,所以可供细菌生长繁殖之用。 高压蒸汽灭菌: 主要是通过升温使蛋白质变性从而达到杀死微生物的效果。将灭菌的物品放在一个密闭和加压的灭 菌锅内,通过加热,使灭菌锅内水沸腾而产生蒸汽。待蒸汽将锅内冷空气从排气阀中趋尽,关闭排 气阀继续加热。此时蒸汽不溢出,压力增大,沸点升高,获得高于100℃的温度导致菌体蛋白凝固 变性,而达到灭菌的目的。 三、实验材料 1.药品:牛肉膏、蛋白胨、nacl、琼脂、1mol/l的naoh和hcl溶液。 2.仪器及玻璃器皿:天平、高压蒸汽灭菌锅、移液管、试管、烧杯、量筒、三 角瓶、培养皿、玻璃漏斗等。 3.其他物品:药匙、称量纸、ph试纸、记号笔、棉花等。 四、操作步骤 (一)玻璃器皿的洗涤和包装 1.玻璃器皿的洗涤 玻璃器皿在使用前必须洗刷干净。将三角瓶、试管、培养皿、量筒等浸入含有洗涤剂的水中.用毛 刷刷洗,然后用自来水及蒸馏水冲净。移液管先用含有洗涤剂的水浸泡,再用自来水及蒸馏水冲洗。洗刷干净的玻璃器皿置于烘箱中烘干后备用。 2.灭菌前玻璃器皿的包装 (1)培养皿的包扎:培养皿由一盖一底组成一套,可用报纸将几套培养皿包

数字逻辑电路实验报告

. .. 数字逻辑电路设计 --多功能数字钟 学院:计算机科学与通信工程 专业: : 学号: 指导老师:

多功能数字钟 一、设计任务及要求 (1)拥有正常的时、分、秒计时功能。 (2)能利用实验板上的按键实现校时、校分及清零功能。 (3)能利用实验板上的扬声器做整点报时。 (4)闹钟功能 (5)在MAXPLUS II 中采用层次化设计方法进行设计。 (6)在完成全部电路设计后在实验板上下载,验证设计课题的正确性。 二、多功能数字钟的总体设计和顶层原理图 作为根据总体设计框图,可以将整个系统分为六个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。

(1)计时模块 该模块使用74LS160构成的一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制计数器用于计时,六十进制计数器用于计分和秒。只要给秒计数器一个1HZ的时钟脉冲,则可以进行正常计时。分计数器以秒计数器的进位作为计数脉冲。 用两个74160连成24进制的计数器,原图及生成的器件如下: 生成的二十四进制计数器注: 利用使能端,时钟信号,清零以及预置数功能连成24进制。

用两个74160连成的60进制计数器,原图及生成的器件如下: 生成的六十进制计数器 (2)校时模块 校时模块设计要求实现校时,校分以及清零功能。 *按下校时键,小时计数器迅速递增以调至所需要的小时位。 *按下校分键,分计数器迅速递增以调至所需要的分位。 *按下清零键,将秒计数器清零。 注意事项:①在校分时,分计数器的计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号以防止小时计数器计数。 ②利用D触发器进行按键抖动的消除,因为D触发器是边沿触发,在除去时钟边沿到来前一瞬间之外的绝大部分时间都不接受输入,

组合逻辑电路-实验报告

电子通信与软件工程系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级:姓名:学号:成绩: 同组成员:姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、实验名称:组合逻辑电路(半加器全加器及逻辑运算) 二、实验目的:1、掌握组合逻辑电路的功能调试 2、验证半加器和全加器的逻辑功能。 3、学会二进制数的运算规律。 三、实验内容: 1.组合逻辑电路功能测试。 (1).用2片74LS00组成图所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2).图中A、B、C接电平开关,YI,Y2接发光管电平显示. (3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式. (4).将运算结果与实验比较.

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图. (1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表. 3.测试全加器的逻辑功能。 (1).写出图4.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表. (3).根据真值表画逻辑函数S i 、Ci的卡诺图. (4).填写表4.3各点状态 (5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

实验一 原理图输入方式设计数字逻辑电路

实验一原理图输入方式设计数字逻辑电路 一、实验目的: 1、了解基本组合逻辑电路的原理及利用Quartus II 软件进行设计的一般方法。 2、熟悉Quartus II 原理图输入法的设计流程,掌握编辑、编译和仿真的方法。 3、掌握原理图的层次化设计方法。 4、了解Quartus II 软件的编程下载及引脚锁定的方法。 5、了解Quartus II宏功能模块的使用方法。 二、实验的硬件要求: 1、EDA/SOPC实验箱。 2、计算机。 三、实验原理 见附件《Quartus设计的一般步骤》、《元件例化和调用的操作步骤》、《QuartusII基于宏功能模块的设计》 四、实验内容: 1、用原理图方式设计1位二进制半加器半加器。 新建一个工程“HalfAdder”,选择芯片“Cyclone III EP3C16Q240C8”,建立原理图如图1-1,保存为“HalfAdder.BDF”。 图1-1 半加器电路图 编译工程。 建立波形文件,对半加器电路分别进行时序仿真和功能仿真,其波形如下: 图1-2半加器时序仿真波形,注意观察输出延时,以及毛刺的产生原因 图1-3半加器功能仿真波形 2、原理图层次化设计。 新建一工程,取名“FullAdder”;将上面设计的半加器“HalfAdder.BDF”复制到当前工程目录,并生成“符号元件”HalfAdder.BSF。 建立一个原理图文件,取名“FullAdder.BDF”,利用“符号元件”HalfAdder.BSF及其它元件设计全加器电路如下图:

用功能仿真测试全加器的逻辑功能。 图1-5 全加器功能仿真波形 图1-6是输入输出信号与FPGA连接示意图,图中用到了“拨档开关”作为输入,“LED 显示模块”显示输出值。表1-1是本实验连接的FPGA管脚编号。

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。

2.二四输入与非门74LS20 74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输 入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输 入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。 表 74LS138的功能表

相关文档
最新文档