数字电子技术实验指导

数字电子技术实验指导
数字电子技术实验指导

实验一. 数字逻辑电路仪器仪表的使用与脉冲信号的测量

一.实验目的

1.学会数字电路实验装置的使用方法

2.学会双综示波器的使用方法

3.掌握脉冲信号的测量方法

二. 预习要求

1.认真阅读(数字电路实验须知)

2.阅读数字逻辑电路实验常用基本仪器仪表的使用方法

3.熟悉脉冲信号的参数

三.主要仪器仪表、材料

数字逻辑电路实验装置、双踪示波器、数字万用表、74LS04

四.实验内容及步骤

1.脉冲信号周期和幅值的测量

将双综示波器的Y1输入连接1KHz、0.5V的测试方波信号,Y1置0.1V档、Y2置0.2V档。调整示波器相应的开关和旋钮,在示波器上显示出稳定的Y1、Y2两路信号。

分别用示波器的0.1ms、0.5ms、1ms时间档测量及记录波形,填表1-1

表1-1

1.直流电平测量

(1)用示波器Y1输入端连接数字逻辑电路实验装置的逻辑电平,分别用0.5V、1V、2V、5V幅度档测量并记录,填表1-2

表1-2

(2) 用示波器Y1输入端连接数字逻辑电路实验装置的单脉冲,1V幅度档测量并记录,填表1-3。

表1-3

(3) 用数字万用表的5V直流电压档分别测量并记录数字逻辑电路实验装置的单

脉冲、逻辑电平信号,填表1-4。

表1-4

1.逻辑门电路传输延时时间t pd 的测量

用反相器接图1,输入1MHz 方波信号,用双综示波器测试电路输入信号、输出信号的相位差,计算每个门的平均传输延时时间t pd 。 Vi Vo

五.实验报告要求 1、实验目的

2、实验仪器、仪表、材料

3、电路原理图、制作测试数据表、画出波形图等

4、回答问题:

简述示波器和数字逻辑电路实验装置的功能和使用方法。

实验二.门电路逻辑功能及测试

一.实验目的

1.掌握门电路逻辑功能及测试方法

2.熟悉数字电路实验装置的使用方法

3.熟悉双踪示波器的使用方法 二.预习要求

1.复习门电路工作原理及相应的逻辑表达式

2.熟悉所用集成电路的引线位置及各引线用途

3.了解双踪示波器和数字电路实验装置 三.实验仪器及材料

1.数字电路实验装置

2.双踪示波器

3.数字万用表

4.器件:74LS00 74LS86 74LS04 四.实验内容及步骤

1.TTL 与非门逻辑功能测试

(1)将74LS00插入面包板,按图1-1接线,输入端A 、B 接S1、S2电平开关的输入插口,输出端Y 接电平显示LED 的输入插口。

(2)将电平开关按表2-1位置,分别测出输出电压及逻辑状态。

(0,1开关

表2-1

2.TTL 异或门逻辑功能测试

(1)将74LS86插入面包板,按图2-2接线,输入端A 、B 接S1、S2电平开关的输入插口,输出端Y 接电平显示LED 的输入插口。

(2)将电平开关按表1-1位置,分别测出输出电压及逻辑状态。 (3)写出异或门逻辑函数的表达式

(0,1 表2-2

3.逻辑电路的功能测试

(1)用法74LS00和74LS04按图2-3接好

(2)将输入输出的逻辑信号分别测试填入表2-3中

(3)写出表2-3电路的逻辑表达式Z

图2-3

表2-3

4.利用与非门控制输出

将74LS00接线:A接逻辑电平开关输出插口、B接1KHz脉冲信号

用双踪示波器:y1输入端接B端,观察脉冲信号、y2输入端接输出Z进行观察A=0、A=1:分别记录输入、输出波形,说明与非门的控制作用。

五.、回答问题

(1)怎样判断门电路逻辑功能是否正常?

(2)二输入与非门一个端输入连续脉冲,其余端什么状态时充许脉冲通过?什么状态时禁止通过?

(3)异或门又称可控反相门,为什么?

实验三. 组合逻辑电路设计

一、实验目的

1.掌握组合电路的设计方法

2.设计安装及调试二输入与非门组成的半加器

3设计安装及调试异或门为主要器件组成的半加器

4设计安装及调试二输入与非门组成的一位全比较器

二、预习要求

1.用74LS00和74LS04设计半加器电路

2.用74LS00和74LS04设计一位全比较器电路

3.用74LS86和74LS00设计半加器电路

三、实验仪器及材料

1.数字电路实验装置

2.数字万用表

3.器件74LS00、74LS86、74LS04

四、实验内容及步骤

1,安装及调试74LS00、74LS04组成半加器电路

2.安装及调试74LS00、74LS04一位全比较器电路

3.安装及调试74LS86和74LS00设计的半加器电路。

4、电路原理图,制作测试数据表

4、写出各实验中各组合逻辑电路的设计过程

5、回答问题:

总结对组合逻辑电路设计、实验安装及调试。

实验四.集成组合逻辑电路及应用(一)

一、实验目的

1.熟悉集成译码器、集成三态门、集成OC门电路。

2.掌握集成译码器的应用。

二、实验预习要求

1.熟悉所用集成电路的引线位置及个引线作用

2.掌握用74LS138设计逻辑函数发生器的作用、方法

三、 实验仪器及材料

1.数字电路实验装置

2.数字万用表

3.器件:74LS138、74LS125、74LS20、74LS07、74LS04 四、 实验内容及步骤

1.三态门功能测试

(1) 74LS125按图4-1接好,按表4-1输入电平分别置位,测试并填写输出状态表并测出输出电压。

A

EN

图4-1

(2) 74LS125按图4-2接好,用双踪示波器测试A 与F1、F2信号,画出A 、B 、F1、F2的波形,并验证表4-1。

1KHz

A

B

B F2 图4-2

2.集成译码器及应用

(1)集成译码器功能测试:将74LS138按图4-3接好,按表4-2置入使能端端电平,填入输出状态并测出输出电压;将74LS139按图3-4接好,按表4-2置入使能端输入端电平,填入输出状态。

. 图4-3

(2)集成译码器应用

将74LS138、74LS20按图4-4接好,按表4-3输入电平置位,填写输出状态,并判断其电路功能。

ai bi Ci 图4-4

3.集电极开路门及应用

(1)集电极开路门电路的逻辑功能测试:将74LS07按图4-5接好,当R L 为1K Ω时,将其结果填入表4-4中

(2)A=1 B=0、A=0 B=1:分别调节电位器,用数字万用表观察OC 门外接负载电阻R L 的取值对输出电平的影响

Ω

图4-5

五、回答问题:

简述用74LS138设计函数发生器的过程

实验五、集成逻辑电路及应用(二) 一、 实验目的

1.熟悉集成四位全比较器及其应用

2.熟悉集成四位加法器及其应用

3.集成双4选1数据选择器及其应用

二、 实验预习要求

1、熟悉所用集成电路的引线位置及各个引线作用

2、掌握用74LS153设计逻辑函数发生器的方法 三 、实验仪器及材料

1、数字电路实验装置

2、数字万用表

3、器件:74LS85 74LS153 74LS151 74LS283 74LS04 三、 实验内容及步骤

1、 集成四位全比较器功能测试及其应用

(1)将74LS85按图5-1接好,按表5-1输入电平分别置位,填写输出状态。 (2)判断两个四位二进制A 和B 的A >B 、A

图5-1 表5-1

A0

A1 5

A A2

A3 6

B0 B1 7

B B2 B3 l m g “1”

2、集成四位加法器及应用

(1)将74LS85按图5-1接好,按表5-1输入电平分别置位,填写输出状态

图5-2 表5-2

A0

A1 S0

A2

A3 S1

B0 B1 S2

B2 B3 S3 C0

Ci

(2)B=0011时,测试电路填表5-3,并指出其逻辑功能。 表5-3

3.集成数据选择器及其应用

(1)将74LS153按图5-3接好,测试电路填表5-4

5-4

(2)将74LS153按图5-4连好,测试电路填表5-5。

5-5

(2)将74LS153和74LS04按图5-5接好,测试电路填表5-6。 表5-6

(4)将74LS151按图5-6接好,测试电路填表5-7.

5-7

五、回答问题

简述用74LS151、74LS153设计函数发生器的过程

实验六、RS、集成D触发器及其应用

一、实验目的

1.熟悉与非门组成的基本RS触发器的逻辑功能

2.熟悉D触发器74LS74,74LS175的逻辑功能

3.掌握常用集成D触发器的正确使用方法及应用

二、预习要求

1.用二输入与非门组成基本RS触发器

2.熟悉实验用集成D触发器的管脚作用及功能

3.画出基本触发器、D触发器的状态状态表

4.画出基本触发器、D触发器的状态状态图

5.试作出实验内容中触发器应用图6-1的波形图

6.分析“四路智力抢答器”电路,图6-2

三、实验设备及材料

1.数字电路实验装置

2.双踪示波器

3.数字万用表

4.器件:74LS74 、74LS00、74LS20、74LS175

四、实验内容及步骤

1、基本RS触发器功能测试

依据表6-1对基本RS触发器逻辑功能测试,通过测试结果,正确理解基本RS 触发器置位、复位及不定与不变的含义。

2、D触发器74LS74功能测试

(1)依据表6-2对Rd Sd功能测试

表6-2

2)依据表6-3对D触发器功能测试(Rd Sd悬空)

注意:1.先置好D,再置CP

2.观察在CP=0,CP=1期间,D的变化对Q Q的影响

3、D

(1)集成D触发器74LS74:D—Q连接,加入CP时钟信号(1KHz),用双踪示波器观察并记录CP、Q、Q波形。

指出:○1电路功能○2CP触发作用沿○3Q和Q的异同。

(2)用集成D触发器74LS74组成分频移相电路图6-1,输入1KHz方波信号测试输出并画出波形。

V01 V02

D F0 Q D F2 Q

Q Q

CP

(3)用集成D触发器74LS175组成图6-2四路智力抢答器电路

图6-2

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

为什么在触发器功能测试中首先置好控制端D,再置CP?

实验七、J K触发器及其应用

一、实验目的

1.熟悉常用JK触发器的逻辑功能

2.掌握集成JK触发器的正确使用方法及应用

二、预习要求

1、熟悉实验用集成JK触发器的管脚作用及功能

2、画出JK触发器的状态转换表

3、画出JK触发器的状态转换图

4、试作出实验内容中触发器应用图7-1的波形图

5、分析“电路智力抢答器”电路,图7-2

三、实验仪器及材料

1、数字电路实验装置

1)双踪示波器

2)万用表

3)器件:74LS112 74LS00 74LS20 30KΩ电阻

四、实验内容及步骤

1、J K触发器功能测试

(1)依据表7-1对J K触发器Rd、Sd功能测试

(2)依据表7-2对J K触发器功能测试(Rd Sd悬空)

注意:○1先置好J K再置CP

○2观察在CP=0,CP=1期间,J K的变化对Q Q的影响

表7-2

3、J K 触发器的应用

(1)J=K=1加入CP时钟信号(1KHz方波),用双踪示波器观察并记录波形。

指出:○1电路功能○2CP触发器作用沿?○3Q和Q的异同

(2)用集成J K触发器组成分频移相电路如图7-1,输入1KHz方波信号,测试并画出输

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

为什么在触发器功能测试中首先置好控制端J、K,再置CP?

实验八、集成计数器及其应用

一、实验目的

1、掌握一种集成计数器的功能及使用方法

2、学会用集成计数器74LS196组成不同编码方式,不同进制计数器的方法。

二、预习要求

1、熟悉8421BCD码、5421BCD码头的编码方式

2、了解集成计数器械74LS196的引脚、功能和使用方法

3、掌握用集成计数器74LS196组成任意进制计数器的方法

三、实验仪器及器材

1、数字电路实验装置

2、万用表

3、器件:74LS196 74LS20

四、实验内容

注意:分别用LED灯和七段显示器观察。

1、74LS196集成计数器的功能测试

(1)COI/LD=1 Cr=1, 1秒脉冲接CP1,输入10个脉冲,观察并记录QdQcQbQa。

(2)1秒脉冲接CP2,其它同上。

(3)COT/LD=1,Cr=0

○1观察并记录QdQcQbQa

○2CP1或CP2加入时钟信号,观察并记录QdQcQbQa

○3改变IdIcIbIa,观察并记录QdQcQbQa

(4)Cr=1,COT/LD=0,

○1IdIcIbIa=1001,分别用LED灯和七段显示器观察并记录QdQcQbQa

○2IdIcIbIa=0110,分别用LED灯和七段显示器观察并记录QdQcQbQa

2、脉冲信号Cr=1 CON/LD=1 N→CP1, Qa→CP2(首先要置零)

(1)输入1 2个脉冲,观察并记录QdQcQbQa

(2)QaQc→Cr端,输入8个脉冲,观察并记录QdQcQbQa

(3)QaQc→COI/LD端,IdIcIbIa=1000输入8个脉冲,观察并记录QdQcQbQa。

3、脉冲信号Cr=1 CON/LD=1 N——CP2 CP1——Qd(首先要置零)

○1输入12个脉冲,观察并记录QaQdQcQb。

○2QaQc→Cr,输入8个脉冲,观察并记录QaQdQcQb。

○3QaQc→COI/LD端,IaIdIcIb=0000输入8个脉冲,观察并记录QaQdQcQb。

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

可用该计数器实现减法计数吗?

实验九、集成移位寄存器及应用

一、实验目的

1、了解四位双向移位寄存器74LS194的逻辑功能

2、学习74LS194的使用方法及应用

二、预习要求

1、熟悉74LS194的引脚作用及功能

2、考虑如何进行功能检测

3、用74LS194设计一个能自启动的环形计数器

0000→0001→0010 0000→1000→0100

↑↓↑↓

1000← 0100 0001←0010

三、实验仪器及材料

1、通用数字电路实验装置

2、数字万用表

3、器件:74LS194 74LS20 74LS00

四、实验内容及步骤

1、对74LS194功能测试

将(1)~(4)记录填表9-1,并观察与CP有关项在CP工作时,Q变化。

表9-1

(1)左移S0S1=10 Cr=1

○1a:清零;

b;D SL←10010000逐个输入后为零,输入8个CP=1Hz(单脉冲)信号情况下,记录Q0Q1Q2Q3写出状态转换表

○2a:清零

b:D SL =1 ,输入8个CP=1Hz(单脉冲)信号情况下,记录Q0Q1Q2Q3写出状态转换表

○3a:清零

b:S0S1=11 、D0D1D2D3=1000,记录Q0Q1Q2Q3。

c:S0S1=10 D SR=0,输入8个CP=1Hz(单脉冲)信号情况下,记录Q0Q1Q2Q3,画出波形图。

(2) 右移S0S1=01、Cr=1

○1a:清零;

b;D SR←0000100逐个输入后为零,输入8个CP=1Hz(单脉冲)信号情况下,记录Q0Q1Q2Q3写出状态转换表

○2a:清零

b:D SR=1 ,输入8个CP=1Hz(单脉冲)信号情况下,记录Q0Q1Q2Q3写出状态转换表

○3a:清零

b:S0S1=11 、D0D1D2D3=0001,记录Q0Q1Q2Q3。

c:S0S1=01 D SR=0,输入8个CP=1Hz(单脉冲)信号情况下,记录Q0Q1Q2Q3,画出波形图。

3、设计的环形计数器电路运行并记录结果。

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形

4、回答问题:

(1)保持状态能否用CP为X,为什么?

(2)归纳74LS194哪些引脚是功能控制端,哪些引脚是信号输入端,哪些引脚是输出端。

(3)确定S0S1=01,S0S1=10时的移位方向;可否改变移位方向?

(4)用74LS194实现串行数据转换为并行数据要具备什么条件?

(5)SR串行输入的数据要在Q3串行输出应具备什么条件(4-5)以实验结果来说明。

实验十一、脉冲信号的产生及变换

一、实验目的

1、熟悉555定时器的工作原理和管脚作用

2、了解555定时器组成的多谐振荡器的工作原理和元件的参数选择

3、了解555定时器组成的单稳态触发器的工作原理和元件的参数选择

电力电子技术实验指导书

实验一单结晶体管触发电路及示波器使用 班级学号姓名 同组人员 实验任务 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.详细学习万用表及示波器的使用方法。 二.实验设备及仪器 1.教学实验台主控制屏 2.NMCL—33组件 3.NMCL—05E组件 4.MEL—03A组件 5.双踪示波器(自备) 6.万用表(自备) 7. 电脑、投影仪 三.实验线路及原理 将NMCL—05E面板左上角的同步电压输入接SMCL-02的U、V输出端,触发电路选择单结晶体管触发电路,如图1所示。 图1单结晶体管触发电路图 四.注意事项 双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外

壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 五.实验内容 1.实验预习 (1)画出晶闸管的电气符号图并标明各个端子的名称。 (2)简述晶闸管导通的条件。 (3)示波器在使用两个探针进行测量时需要注意的问题。 2. 晶闸管特性测试 请用万用表测试晶闸管各管脚之间的阻值,填写至下表。 + A K G - A K G 3.单结晶体管触发电路调试及各点波形的观察 按照实验接线图正确接线,但由单结晶体管触发电路连至晶闸管VT1的脉冲U GK不接(将NMCL—05E面板中G、K接线端悬空),而将触发电路“2”端与脉冲输出“K”端相连,以便观察脉冲的移相范围。 合上主电源,即按下主控制屏绿色“闭合”开关按钮。这时候NMCL—05E内部的同步变压器原边接有220V,副边输出分别为60V(单结晶触发电路)、30V(正弦波触发电路)、7V(锯齿波触发电路),通过直键开关选择。 合上NMCL—05E面板的右下角船形开关,用示波器观察触发电路单相半波整流输出(“1”),梯形电压(“3”),梯形电压(“4”),电容充放电电压(“5”)及单结晶体管输出电压(“6”)和脉冲输出(“G”、“K”)等波形,并绘制在下图相应位置。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术项目教程课程设计

摘要 随着人们物质生活水平的提高,人们对精神生活的追求也愈加强烈,在娱乐方面也多元化,其中电子产品占了其中相当大的一部分。一件好的电子产品总能给人们带来耳日一新的感受。而现代工具务求简捷化、便携化,因此,摇动显示装置的到来,必将会给人们带来一种新的视觉冲击。“摇动显示装置”,俗称“摇摇棒”,是基于人的视觉暂留原理的,通过分时刷新12个发光二极管来显示输出文字或图案等信息的显示装置。输出信号频率的控制通过单片机来实现,用摇动传感器检测当前摇动状态。当进行摇动时,由于人的视觉暂留原理,会在发光一极管摇动区域产生一个视觉平面,在视觉平面内的二极管通过不同频率的刷新,会在摇动区域内产生图像,从而达到在该视觉平面上传达信息的作用。: 制作目的: 1、非常重要的实践教学环节; 2、培养理论知识与生产实际相结合的能力; 3、了解单片机技术; 4、锻炼实际动手能力,掌握电子线路焊接、装配的基本技能; 5、了解并掌握本专业软硬件设计的一此基本问题; 6、提高团队合作能力。设计功能要求:1、静态的时候发光二极管能够正常闪烁; 2、摇动的时候可以正确显示文字或图形; 3、显示“一生平安”字样; 4、显示“i love you !”字样; 5、显示动画心跳图样; 6、按键可以切换不同画面; 7、自动转换图形,轮流显示并循环。随着人们物质生活水平的提高,人们对精神生活的追求也愈加强烈,对信息的渴求已成为了人们必不可少的需要,更加简捷与新颖的信息传递方式无疑会给人们带来耳目一新的感受。而现代工具务求简捷化、便携化,因此,摇动显示装置的到来,必将会给人们带来一种新的方便的文化传递方式。 前言

单片机是随着大规模集成电路的出现极其发展,将计算机的CPU,RAM,ROM,定时/计数器和多种I/O接口集成在一片芯片上,形成了芯片级的计算机,因此单片机早期的含义称为单片微型计算机(single chipmicrocomputer).它拥有优异的性价比、集成度高、体积小、可靠性高、控制功能强、低电压、低功耗的显著优点.主要应用于智能仪器仪表、工业检测控制、机电一体化等方面,并且取得了显著的成果.单片机应用系统可以分为:(1)最小应用系统是指能维持单片机运行的最简单配置的系统。这种系统成本低廉,结构简单,常构成一些简单的控制系统,如开关状态的输入/输出控制等。片内有ROM/EPROM的单片机,其最小应用系统即为配有晶振,复位电路,电源的单个单片机.片内无ROM/EPROM的单片机,其最小应用系统除了外部配置晶振,复位电路,电源外,还应外接EPROM 或EEPROM作为程序存储器用.(2)最小功耗应用系统是指为了保证正常运行,系统的功耗最小.(3)典型应用系统是指单片机要完成工业测控功能所必须的硬件结构系统。 本文将使用单片机对摇动显示进行实例化,设计一个LED摇动显示器来显示文字、图像等信息。掌握利用8051型单片机对发光二极管阵列进行摇动控制的方法。输出信号频率的控制通过单片机来实现,用摇动传感器检测当前摇动状态,用16个发光二极管进行不同频率的亮灭刷新,通过手动摇动可显示输出文字及图案等信息。当进行摇动时,由于人的视觉暂留原理,会在发光二极管摇动区域产生一个视觉平面,在视觉平面内的二极管通过不同频率的刷新,会在摇动区域内产生图像,从而达到在该视觉平面上传达信息的作用。LED显示棒,又称摇摇棒,是一种利用视觉暂留效应制作的“高科技”玩具。可以用“静如处子,动如脱兔”来形容它,即当静止时,它只是几个LED发光二极管(后简称LED),而一旦按照一定的频率去摇晃它,它就会随着位置的变化而变化(亮或灭),最终显示一幅图片或字符串。 目录

电力电子技术实验

《电力电子技术》实验指导书 指导教师:王跃鹏李向丽 燕山大学电气工程学院 应用电子实验室 二零零四年七月

实验一 锯齿波同步移相触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步触发电路的调试方法。 二、实验内容 1、锯齿波同步触发电路的调试。 2、锯齿波同步触发电路各点波形观察、分析。 三、实验线路及原理 锯齿波同步移相触发电路主要由脉冲形成和放大、锯齿波形成、同步移相等环节组成。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、将MCL-05面板上左上角的同步电压接入MCL-32的U 、V 端,并将MCL-31的“g U ”和“地”端分别接入MCL-05的“ct U ”和“7”端,“触发电路选择”拨向“锯齿波”。 2、合上主电路电源开关,并打开MCL-05面板右下角的电源开关,用示波器观察各观测孔的电压波形,示波器的地线接于“7”端。 同时观测“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形,调节RP1,使3”的锯齿波刚出现平顶,记下各波形的幅值与宽度。 六、实验报告 整理,描绘实验中记录的各点波形。

实验二 单相桥式全控整流电路实验 一、实验目的 1、了解单相桥式全控整流电路的工作原理。 2、研究单相桥式全控整流电路在电阻负载、阻感负载时的工作特点。 二、实验内容 1、单相桥式全控整流电路供给电阻负载。 2、单相桥式全控整流电路供给阻感负载。 三、实验线路及原理 单相桥式全控整流电路的实验线路如图2-1所示,其工作原理可参见“《电力电子技术》(第四版,王兆安、黄俊编)”教材。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、单相桥式全控整流电路供给电阻负载。 按照图2-1接线,接上电阻负载(采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大,短接平波电抗器。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形)(t f u T =。 2、单相桥式全控整流电路供给阻感负载。 按照图2-1接线,接上阻感负载(电感选择700mH ,电阻采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形 )(t f u T =。 六、实验报告

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

电力电子技术实验指导书最新版

电力电子技术实验指导书 第一章概述 一、电力电子技术实验内容与基本实验方法 电力电子技术是20世纪后半叶诞生和发展的一门新技术,广泛应用于工业领域、交通运输、电力系统、通讯系统、计算机系统、能源系统及家电、科研领域。 电力电子技术课程既是一门技术基础课程,也是一门实用性很强的应用型课程,因此实验在教学中占有十分重要的位置。 电力电子技术实验课的主要内容为:电力电子器件的特性研究,重点是开关特性的研究;电力电子变换电路的研究,包括:三相桥式全控整流电路(AC/DC 变换)、SPWM逆变电路(DC/AC变换)、直流斩波电路(DC/DC变换)、单相交流调压电路(AC/AC变换)四大类基本变流电路。 电力电子技术实验借助于现代化的测试仪器与仪表,使学生在实验的同时熟悉各种仪器的使用,以进一步提高实验技能。 波形测试方法是电力电子技术实验中基本的、常用的实验方法,电力电子器件的开关特性依据波形测试而确定器件的工作状态及相应的参数;电力电子变换电路依据波形测试来分析电路中各种物理量的关系,确定电路的工作状态,判断各个器件的正常与否。因此,掌握不同器件、不同电路的波形测试方法,可以使学生进一步掌握电力电子电路的工作原理以及工程实践的方法。

本讲义参考理论课的内容顺序编排而成,按照学生掌握知识的规律循序渐进,旨在加强学生实验基本技能的训练、实现方法的掌握;培养和提高学生的工程设计与应用能力。 由于编者水平有限,难免有疏漏之处,恳请各位读者提出批评与改进意见。 二、实验挂箱介绍与使用方法 (一)MCL—07挂箱电力电子器件的特性及驱动电路 MCL—07挂箱由GTR驱动电路、MOSFET驱动电路、IGBT驱动电路、PWM 发生器、主电路等部分组成。 1、GTR驱动电路:内含光电耦合器、比较器、贝克箝位电路、GTR功率器件、串并联缓冲电路、保护电路等。可对光耦特性(延迟时间、上升时间、下降时间),贝克电路对GTR导通关断特性的影响,不同的串、并联电路对GTR开关特性的影响以及保护电路的工作原理进行分析和研究。 2、MOSFET驱动电路:内含高速光耦、比较器、推挽电路、MOSFET功率器件等。可以对高速光耦、推挽驱动电路、MOSFET的开启电压、导通电阻R ON、跨导g m、反相输出特性、转移特性、开关特性进行研究。 3、IGBT电路驱动:采用富士IGBT专用驱动芯片EXB841,线路典型,外扩保护电路。可对EXB841的驱动电路各点波形以及IGBT的开关特性进行研究。 本挂箱的特点: (1)线路典型,有助于对基本概念的理解,力求通过实验,使学生对自关断器件的特性有比较深刻的理解。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电力电子技术实验-打印的

电力电子技术实验-打印的-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

实验一单结晶体管触发电路实验 一、实验目的 (1) 熟悉单结晶体管触发电路的工作原理及各元件的作用。 (2) 掌握单结晶体管触发电路的调试步骤和方法。 序号型号备注 1 DJK01 电源控制屏该控制屏包含“三相电源输出” 等几个模块。 2 DJK0 3 晶闸管触发电路该挂件包含“单结晶体管触发电 路”等模块。 3 双踪示波器自备 图1-8 单结晶体管触发电路原理图 由同步变压器副边输出60V的交流同步电压,经VD1半波整流,再经稳压管V1、V2进行削波,从而得到梯形波电压,其过零点与电源电压的过零点同步,梯形波通过R7及等效可变电阻V5向电容C1充电,当充电电压达到单结晶体管的峰值电压Up时,单结晶体管V6导通,电容通过脉冲变压器原边放电,脉冲变压器副边输出脉冲。同时由于放电时间常数很小,C1两端的电压很快下降到单节晶体管的谷点电压Uv使V6关断,C1再次充电,周而复始,在电容c1两端呈现锯齿波形,在脉冲变压器副边输出尖脉冲。在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。单结晶体管触发电路的个点波形略。 四、实验内容 (1) 单结晶体管触发电路的调试。

(2) 单结晶体管触发电路各点电压波形的观察。 五、思考题 (1) 单结晶体管触发电路的振荡频率与电路中 C1 的数值有什么关系 答:在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有 第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1 改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。(2) 单结晶体管触发电路的移相范围能否达到180° 答:能 六、实验方法 (1) 单结晶体管触发电路的观测 将 DJK01 电源控制屏的电源选择开关打到“直流调速”侧 , 使输出线 电压为 200V (不能打到“交流调速”侧工作,因为 DJK03 的正常工作电源电压为220V ± 10% ,而“交流调速”侧输出的线电压为 240V 。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“ DZSZ-1 型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到 220V 左右,然后才能将电源接入挂件),用两根导线将 200V 交流电压接到 DJK03 的“外接220V ”端,按下“启动”按钮,打开 DJK03 电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“ 1 ”点的波形,经稳压管削波得到“ 2 ”点的波形,调节移相电位器 RP1 ,观察“ 4 ”点锯齿波的周期变化及“ 5 ”点的触发脉冲波形;最后观测输出的“ G 、K ”触发电压波形,其能否在30° ~ 170° 范围内移相 (2) 单结晶体管触发电路各点波形的记录

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电力电子技术仿真实验指导书

《电力电子技术实验》指导书 合肥师范学院电子信息工程学院

实验一电力电子器件 仿真过程: 进入MATLAB环境,点击工具栏中的Simulink选项。进入所需的仿真环境,如图所示。点击File/New/Model新建一个仿真平台。点击左边的器件分类,找到Simulink和SimPowerSystems,分别在他们的下拉选项中找到所需的器件,用鼠标左键点击所需的元件不放,然后直接拉到Model平台中。 图 实验一的具体过程: 第一步:打开仿真环境新建一个仿真平台,根据表中的路径找到我们所需的器件跟连接器。

提取出来的器件模型如图所示: 图 第二步,元件的复制跟粘贴。有时候相同的模块在仿真中需要多次用到,这时按照常规的方法可以进行复制跟粘贴,可以用一个虚线框复制整个仿真模型。还有一个常用方便的方法是在选中模块的同时按下Ctrl键拖拉鼠标,选中的模块上会出现一个小“+”好,继续按住鼠标和Ctrl键不动,移动鼠标就可以将模块拖拉到模型的其他地方复制出一个相同的模块,同时该模块名后会自动加“1”,因为在同一仿真模型中,不允许出现两个名字相同的模块。 第三步,把元件的位置调整好,准备进行连接线,具体做法是移动鼠标到一个器件的连接点上,会出现一个“十字”形的光标,按住鼠标左键不放,一直到你所要连接另一个器件的连接点上,放开左键,这样线就连好了,如果想要连接分支线,可以要在需要分支的地方按住Ctrl键,然后按住鼠标左键就可以拉出一根分支线了。 在连接示波器时会发现示波器只有一个接线端子,这时可以参照下面示波器的参数调整的方法进行增加端子。在调整元件位置的时候,有时你会遇到有些元件需要改变方向才更方便于连接线,这时可以选中要改变方向的模块,使用Format菜单下的Flip block 和Rotate

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

浙大电力电子技术实验在线课后复习

您的本次作业分数为:98分单选题 1.【全部章节】三相桥式全控整流电路电感性负载实验中,关于整流电压ud描述正确的是? ? A 一个周期内,整流电压ud由6个波头组成 ? B 触发角为30°时,整流电压ud会出现瞬时值为零的点 ? C 移相范围是60° ? D 触发角为60°时,整流电压ud平均值为零 ? 单选题 2.【全部章节】自关断器件及其驱动与保护电路实验中,PWM信号占空比与直流电动机电枢电压及转速关系是? ? A 占空比越大,电枢电压越大,转速越小 ? B 占空比越大,电枢电压越小,转速越大 ? C 占空比越大,电枢电压越大,转速越大

? D 占空比越小,电枢电压越大,转速越大 ? 单选题 3.【全部章节】单相桥式半控整流电路实验中,能够用双踪示波器同时观察触发电路与整流电路波形?为什么? ? A 能 ? B 不能,因为示波器两个探头地线必须接在等电位的位置上 ? C 不能,因为示波器量程不足以观察整流电路波形 ? D 不能,因为示波器无法同时观察低压与高压信号 ? 单选题 4.【全部章节】关于锯齿波同步移相触发器描述错误的是

? A 多个触发器联合使用可以提供间隔60°的双窄脉冲? B 可以提供强触发脉冲 ? C 有同步检测环节,用于保证触发电路与主电路的同步? D 移相范围为30°到150° ? 单选题 5.【全部章节】关于“单管整流”现象的描述,错误的是? A 输出电流为单向脉冲波,含有很大的直流分量 ? B “单管整流”会危害电机、大电感性质的负载 ? C 此时电路中只有一个晶闸管导通 ? D 只在负载功率因数角小于触发角时出现 ?

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

电力电子技术实验指导书

景德镇陶瓷学院 机械电子工程学院 电子电子技术 实验指导书 专业:自动化 实验室:A1栋408 二零一五年六月制 实验一单结晶体管触发电路及单相半波可控整流电 路实验 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.对单相半波可控整流电路在电阻负载及电阻电感负载时工作情况作全面分析。 4.了解续流二极管的作用。

二.实验内容 1.单结晶体管触发电路的调试。 2.单结晶体管触发电路各点波形的观察。 3.单相半波整流电路带电阻性负载时特性的测定。 4.单相半波整流电路带电阻—电感性负载时,续流二极管作用的观察。 三.实验线路及原理 将单结晶体管触发电路的输出端“G”“K”端接至晶闸管VT1的门阴极,即可构成如图4-1所示的实验线路。 四.实验设备及仪器 1.MCL系列教学实验台主控制屏 2.MCL—18组件(适合MCL—Ⅱ)或MCL—31组件(适合MCL—Ⅲ) 3.MCL—33(A)组件或MCL—53组件(适合MCL—Ⅱ、Ⅲ、Ⅴ)4.MCL—05组件或MCL—05A组件 5.MEL—03三相可调电阻器或自配滑线变阻器 6.二踪示波器 7.万用表 五.注意事项 1.双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 2.为保护整流元件不受损坏,需注意实验步骤:

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

电力电子技术及电机控制实验指导书 第一章

第三章电力电子技术实验 本章节介绍电力电子技术基础的实验内容,其中包括单相、三相整流及有源逆变电路,直流斩波电路原理,单相、三相交流调压电路,单相并联逆变电路,晶闸管(SCR)、门极可关断晶闸管(GTO)、功率三极管(GTR)、功率场效应晶体管(MOSFET)、绝缘栅双极性晶体管(IGBT)等新器件的特性及驱动与保护电路实验。 实验一单结晶体管触发电路实验 一、实验目的 (1)熟悉单结晶体管触发电路的工作原理及电路中各元件的作用。 (2)掌握单结晶体管触发电路的调试步骤和方法。 二、实验所需挂件及附件 单结晶体管触发电路的工作原理已在1-3节中作过介绍。 四、实验内容 (1)单结晶体管触发电路的调试。 (2)单结晶体管触发电路各点电压波形的观察。 五、预习要求 阅读本教材1-3节及电力电子技术教材中有关单结晶体管的内容,弄清单结晶体管触发电路的工作原理。 六、思考题 (1)单结晶体管触发电路的振荡频率与电路中C1的数值有什么关系? (2)单结晶体管触发电路的移相范围能否达到180°? 七、实验方法 (1)单结晶体管触发电路的观测 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“1”点的波形,经稳压管削波得到“2”点的波形,调节移相电位器RP1,观察“4”点锯齿波的周期变化及“5”点的触发脉冲波形;最后观测输出的“G、K”触发电压波形,其能否在30°~170°范围内移相? (2)单结晶体管触发电路各点波形的记录

电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围