微电子工艺

微电子工艺
微电子工艺

微电子工艺引论

硅片、芯片的概念

硅片:制造电子器件的基本半导体材料硅的圆形单晶薄片

芯片:由硅片生产的半导体产品

*什么是微电子工艺技术?微电子工艺技术主要包括哪些技术?

微电子工艺技术:在半导体材料芯片上采用微米级加工工艺制造微小型化电子元器件和微型化电路技术

主要包括:超精细加工技术、薄膜生长和控制技术、高密度组装技术、过程检测和过程控制技术等

集成电路制造涉及的五个大的制造阶段的内容

硅片制备:将硅从沙中提炼并纯化、经过特殊工艺产生适当直径的硅锭、将硅锭切割成用于制造芯片的薄硅片

芯片制造:硅片经过各种清洗、成膜、光刻、刻蚀和掺杂步骤,一整套集成电路永久刻蚀在硅片上

芯片测试/拣选:对单个芯片进行探测和电学测试,挑选出可接受和不可接受的芯片、为有缺陷的芯片做标记、通过测试的芯片将继续进行以后的步骤

装配与封装:对硅片背面进行研磨以减少衬底的厚度、将一片厚的塑料膜贴在硅片背面、在正面沿着划片线用带金刚石尖的锯刃将硅片上的芯片分开、在装配厂,好的芯片被压焊或抽空形成装配包、将芯片密封在塑料或陶瓷壳内

终测:为确保芯片的功能,对每一个被封装的集成电路进行电学和环境特性参数的测试

IC工艺前工序、IC工艺后工序、以及IC工艺辅助工序

IC工艺前工序:(1)薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发) 等

(2)掺杂技术:主要包括扩散和离子注入等技术

(3)图形转换技术:主要包括光刻、刻蚀等技术

IC工艺后工序:划片、封装、测试、老化、筛选

IC工艺辅助工序:超净厂房技术

超纯水、高纯气体制备技术

光刻掩膜版制备技术

材料准备技术

微芯片技术发展的主要趋势

提高芯片性能(速度、功耗)、提高芯片可靠性(低失效)、降低芯片成本(减小特征尺寸,增加硅片面积,制造规模)

什么是关键尺寸(CD)?

芯片上的物理尺寸特征称为特征尺寸,特别是硅片上的最小特征尺寸,也称为关键尺寸或CD

半导体材料

本征半导体和非本征半导体的区别是什么?

本征半导体:不含任何杂质的纯净半导体,其纯度在99.999999%(8~10个9)

为何硅被选为最主要的半导体材料?

a) 硅的丰裕度——制造成本低

b) 熔点高(1412 OC)——更宽的工艺限度和工作温度范围

c) SiO2的天然生成

GaAs相对Si的优点和缺点是什么?

优点:

a) 比硅更高的电子迁移率,高频微波信号响应好——无线和高速数字通信

b) 抗辐射能力强——军事和空间应用

c) 电阻率大——器件隔离容易实现

主要缺点:

a) 没有稳定的起钝化保护作用的自然氧化层

b) 晶体缺陷比硅高几个数量级

c) 成本高

圆片的制备

两种基本的单晶硅生长方法。

直拉法(CZ法)、区熔法

晶体缺陷根据维数可分为哪四种?

点缺陷—空位、自填隙等

线缺陷—位错

面缺陷—层错

体缺陷

*画出圆片制备的基本工艺步骤流程图,并绘出其中任意三个步骤的主要作用。

沾污控制

净化间污染分类

颗粒、金属杂质、有机物沾污、自然氧化层、静电释放(ESD)。

半导体制造中,可以接受的颗粒尺寸粗略法则

必须小于最小器件特征尺寸的一半。

金属污染的主要来源

化学溶液、导体制造中的各种工序,如:离子注入、学品与传输管道反应、学品与容器反应

*超净服的目标:

(1)对身体产生的颗粒和浮质的总体抑制

(2)超净服系统颗粒零释放

(3)对ESD的零静电积累

(4)无化学和生物残余物的释放

什么是可动离子污染?

金属杂质以离子形式出现,且是高度活动性;危害半导体工艺的典型金属杂质是碱金属。如钠,就是最常见的可移动离子沾污物,而且移动性最强

静电释放的概念及带来的问题

概念:静电释放也是一种形式的沾污,因为它是静电荷从一个物体向另一个物体未经控制地转移,可能损坏芯片

带来的问题:(1)发生在几个纳秒内的静电释放能产生超过1A的峰值电流

蒸发金属导线和穿透氧化层

击穿栅氧化层的诱因

(2)吸附颗粒到硅片表面

颗粒越小,静电的吸引作用就越明显

器件特征尺寸的缩小,更需要严格控制硅片放电

芯片生产厂房的七种污染源

空气、厂房、水、工艺用化学品、工艺气体、生产设备

芯片表面的颗粒数与工艺步骤数之间的关系图。

硅片清洗目标:

去除所有表面沾污(颗粒、有机物、金属、自然氧化层)

工艺腔内的气体控制

工艺用气体通常分为哪两类?

a) 通用气体:氧气(O2)、氮气(N2)、氢气(H2)、氦气(He)和氩气(Ar),纯度要控制在7个9(99.99999%)以上

b) 特殊气体:指一些工艺气体以及其它在半导体集成电路制造中比较重要的气体,纯度要控制在4个9 (99.99%)以上

常见的初级泵和高级泵。

常见的两种初级泵:

a) 干性机械泵

b) 增压/调压泵:可处理大量气体而不需要润滑剂,增压器通常被称为罗茨增压泵

常见的两种高真空泵:

a) 加速分子泵(涡轮泵):是一种多用途、可靠的洁净泵,运作机理是机械化的压缩

b) 冷凝泵:是一种俘获式泵,它通过使气体凝结并俘获在泵中的方式去除工艺腔体中的气体

质量流量计(MFC)的概念

利用气体的热传输特性,直接测量进入腔体的质量流量比率,来控制进入腔体的气流

残气分析器(RGA)最常见的用途和基本构成。

用途:a)用来检验残留在已清空系统中的气体分子的类型

b)检漏

c)工艺中的故障查询

基本构成:

氧化

氧化物的两种产生方式

热氧化生长、淀积

氧化层在芯片制备中有哪几方面的应用?

(1)保护器件免受划伤和隔离污染

(2)限制带电载流子场区隔离(表面钝化)

(3)栅氧或储存器单元结构中的介质材料

(4)掺杂中的注入掩蔽

(5)金属导电层间的介质层

表面钝化的概念

SiO2可以通过束缚Si的悬挂键,从而降低它的表面态密度,这种效果称为表面钝化;能防止电性能退化,并减少由潮湿、离子或其他外部污染物引起的漏电流的通路

关于氧化的两种化学发应

干氧氧化 Si(固)+ O2(气) SiO2(固)

湿氧氧化 Si(固)+ 2H2O(水汽) SiO2(固)+ 2H2(气)

*氧化物生长的两个阶段及生长厚度的公式:

线性阶段氧化物生长厚度 X=(B/A)t

抛物线阶段 X=(Bt)1/2

注: X:氧化物生长厚度 B/A:为线性速率系数,温度升高系数增大

B:抛物线速率系数 t:为生长时间

用于热工艺的三种基本设备

卧式炉、立式炉、快速热处理(RTP)

硅的局部氧化(LOCOS工艺)剖面图

掺杂

掺杂的两种方法

a)热扩散:利用高温驱动杂质穿过硅的晶格结构。这种方法受到时间和温度的影响

b)离子注入:通过高压离子轰击把杂质引入硅片现代晶片制造中几乎所有掺杂工艺都是使用离子注入

列举半导体制造中常用的四种杂质,并说明是n型还是p型

扩散发生需要的两个必要条件

a)浓度差

b)过程所必须得能量

热扩散的三个步骤,以及它们的作用

(1)预淀积:

a) 为整个扩散过程建立浓度梯度

b) 炉温一般800~1000 0C

(2)推进:

a)将由预淀积引入的杂质作为扩散源,在高温下进行扩散。目的是为了控制表面浓度和扩散深度

b)1000~1250 0C

(3)激活:稍为升高炉温,使杂质原子与晶格中的硅原子键合

*离子注入的优缺点

优点:精确控制杂质含量、很好的杂质均匀性、对杂质穿透深度有很好的控制、产生单一离子束、低温工艺、注入的离子能穿过薄膜、无固溶度极限

缺点:a)高能杂质离子轰击硅原子将对晶体结构产生损伤(可用高温退火进行修复)

b)注入设备的复杂性(这一缺点被注入机对剂量和深度的控制能力及整体工艺的灵活性弥补)

重要的离子注入参数

剂量、射程

剂量和能量的公式

剂量的计算公式: Q=(It)/(enA) I为束流,单位是库仑每秒(安培)

t为注入时间,单位是秒

e是电子电荷,等于1.6 x 10-19库仑

A是注入面积,单位是cm2

描述能量的公式为:KE=nV KE为能量,单位是电子伏特(eV)

n为离子电荷

V为电势差,单位是伏特

离子注入设备的五个主要子系统

a)离子源:从气态或固态杂质中产生正离子

b)引出电极(吸极)和离子分析器:吸极系统--离子源中产生的所有正离子,并使它们形成离子束,子分析器--将需要的杂质离子从混合的离子束中分离出来

c)加速管:为了获得更高的速度,正离子还要在加速管中的电场下进行加速

d)扫描系统:聚束离子束通常很小,必须通过扫描覆盖整个硅片,扫描的方式有两种

固定硅片,移动束斑——中低电流注入

固定束斑,移动硅片——大电流注入

e)工艺室:离子束向硅片的注入发生在工艺腔中,包括扫描系统、具有真空锁的装卸硅片的终端台、硅片传输系统和计算机控制系统

退火的目的是什么?高温退火和RTA哪个更优越?

退火的目的:退火能够加热被注入硅片,修复晶格缺陷;还能使杂质原子移动到晶格点,将其激活

高温炉退火:是一种传统的退火方式,用高温炉把硅片加热至800~1000℃并保持30分钟

在此温度下,硅原子重新移回晶格位置,杂质原子也能替代硅原子位置进入晶格

此方法可能会导致杂质的扩散

快速热退火(RTA):用极快的升温和在目标温度(一般是1000℃)短暂的持续时间对硅片进行处理

快速的升温过程和短暂的持续时间能够在晶格缺陷的修复、激活杂质和最小化杂质扩散三者间取得

优化

描述沟道效应,控制沟道效应的四种方法

沟道效应:当注入离子未与硅原子碰撞减速,而是穿透了晶格间隙时,就发生了沟道效应

注入过程中有4种方法控制沟道效应:* 倾斜硅片 * 掩蔽氧化层

* 硅预非晶化 * 使用质量较大的原子

列举十个使用离子注入的掺在工艺

(1)深埋层(2)倒掺杂阱(3)穿通阻挡层(4)阈值电压调整(5)轻掺杂漏区(LDD)

(6)源漏注入(7)多晶硅栅(8)沟槽电容器(9)超浅结(10)绝缘体上硅(SOI)

光刻

光刻的概念及本质:

(1)光刻指的是将图形转移到一个平面的任一复制过程

(2)光刻的本质是把临时电路结构复制到以后要进行刻蚀和离子注入的硅片上

在主流微电子制造过程中,光刻是最复杂、最昂贵和最关键的工艺

*光刻工艺的八个基本步骤:

气相成底膜、旋转涂胶、软烘、对准和曝光、曝光后烘焙、显影、坚膜烘焙、显影检查

光刻胶的概念及目的:

概念:一种有机化合物,受紫外光曝光后,在显影液中的溶解度会发生变化

目的:(1)将掩模版图案转移到硅片表面顶层的光刻胶中

(2)在后续工艺中,保护光刻胶下面的材料(如刻蚀或离子注入的阻挡层)

光刻胶显影参数:显影温度、显影时间、显影液量、当量浓度、清洗、排风、硅片吸盘

*正胶和负胶显影效果:

正性光刻胶:曝光区域溶解于显影液,显影后图形与掩模版图形一样

负性光刻胶:曝光区域不溶解于显影液,显影后图形与掩模版图形相反

常用于光学光刻的两种紫外光源

汞灯、准分子激光

反射切口、驻波的概念、抗反射涂层的作用

反射切口:在刻蚀形成的垂直侧墙表面,反射光入射到不需要曝光的光刻胶中就会形成反射切口

驻波:入射光与反射光发射干涉引起;引起随光刻胶厚度变化的不均匀曝光

抗反射涂层:减小光反射和阻止光干涉;20~200nm

*分辨率的概念以及计算

概念:分辨率是将硅片上两个邻近的特征图形区分开来的能力

是光刻中一个重要的性能指标

计算

从早期硅片制造以来的光刻设备可分为哪五代?列举任意两种的优缺点

(1)接触式光刻机优点:图像失真小,图形分辨率高

缺点:依赖人为操作、容易沾污

(2)接近式光刻机优点:掩模版不与光刻胶直接接触,大大减小了沾污

缺点:紫外光线通过空气时发散,减小了分辨率

(3)扫描投影光刻机

(4)分步重复光刻机

(5)步进扫描光刻机

可以成功代替光学光刻技术的四种光刻技术

(1)极紫外(EUV)光刻技术

(2)角度限制投影电子束光刻技术(SCALPEL)

(3)离子束投影光刻技术(IPL)

(4)X射线光刻技术

刻蚀

刻蚀的概念及其基本目的

概念:用化学或物理方法有选择地从硅片表面去除不需要的材料的过程

基本目的:在涂胶的硅片上正确地复制掩模图形

两种基本的刻蚀工艺

a)干法刻蚀:干法刻蚀是用等离子体去除硅片表面材料,物理过程、化学过程、或两种反应的混合

b)湿法腐蚀:用液体化学试剂去除硅片表面材料

等离子体的概念

一种中性、高能量、离子化的气体,包含中性原子或分子、带电离子和自由电子

选择比的概念

同一刻蚀条件下一种材料与另一种材料相比刻蚀速率快多少,定义为被刻蚀材料的刻蚀速率与另一种材料的刻蚀速率的比,高选择比在最先进的工艺中为了确保关键尺寸和剖面控制是必须的,关键尺寸越小,选择比要求越高

*负载和微负载效应的概念

负载效应:要刻蚀硅片表面的大面积区域,则会耗尽刻蚀剂浓度使刻蚀速率慢下来;如果刻蚀的面积比较小,则刻蚀会快些

微负载效应:深宽比相关刻蚀(ARDE))——具有高深宽比硅槽的刻蚀速率要比具有低深宽比硅槽的刻蚀速率慢

干法刻蚀的应用

介质——氧化物和氮化硅

硅——多晶硅栅和单晶硅槽

金属——铝和钨

湿法腐蚀相比干法刻蚀的优点

(1)对材料具有高的选择比(2)不会对器件带来等离子体损伤(3)设备简单

淀积

淀积膜的过程的三种不同阶段

(1)晶核形成,成束的稳定小晶核形成

(2)聚集成束,也称为岛生长

(3)形成连续的膜

化学气相淀积(CVD)的概念,有哪五种基本化学反应

(1)高温分解:通常在无氧的条件下,通过加热化合物分解

(2)光分解:利用辐射使化合物的化学键断裂分解

(3)还原反应:反应物分子和氢发生的反应

(4)氧化反应:反应物原子或分子和氧发生的反应

(5)氧化还原反应:还原反应和氧化反应的组合,反应后形成两种新的化合物

CVD中质量传输限制和表面反应控制限制的概念

(1)质量传输限制

CVD反应的速率不可能超过反应气体传输到硅片上的速率

无论温度如何,若传输到硅片表面加速反应的反应气体的量都不足。在此情况下,CVD工艺通常是受质量传输所限制的

(2)表面反应控制限制

在更低的反应温度和压力下,驱动表面反应的能量更小,表面反应速度会降低

反应物到达表面的速度将超过表面化学反应的速度

这种情况下,淀积速度是受化学反应速度限制的

*APCVD、TEOS-O3方法淀积SiO2的反应方程式

Si(C2H5O4) + 8O3 ——> SiO2 + 10H2O + 8CO2

用PECVD制备SiO2反应方程式

LPCVD淀积多晶硅的化学反应方程式

PECVD淀积氮化硅的化学反应方程式

HPCVD工艺的五个步骤

(1)离子诱导淀积:指离子被托出等离子体并淀积形成间隙填充的现象

(2)溅射刻蚀:具有一定能量的Ar和因为硅片偏置被吸引到薄膜的反应离子轰击表面并刻蚀原子

(3)再次淀积:原子从间隙的底部被剥离,通常会再次淀积到侧壁上

(4)热中性 CVD:这对热能驱动的一些淀积反应有很小的贡献;

(5)反射:离子反射出侧壁,然后淀积,是另一种贡献

(1)外延的概念

在单晶衬底上淀积一层薄的单晶层

外延为器件设计者在优化器件性能方面提供了很大的灵活性

外延层可以减少CMOS器件中的闩锁效应

同质外延:生长的膜和衬底的材料相同

异质外延:生长的膜和衬底的材料不同

(2)IC制造中一般采用的三种外延方法

1、气相外延(VPE):常用的硅外延方法,属于CVD范畴

2、金属有机CVD(MOCVD):用来淀积化合物半导体外延层,也被研究用来淀积有机低k绝缘介质

3、分子束外延(MBE):用来淀积GaAs异质外延层,也用来在硅衬底上淀积硅并能严格控制外延层厚度和掺杂的均匀性

*介质材料的三个主要用途,其中哪个的发展趋势是高K,哪个是低K?

层间介质(ILD)、栅氧化层、器件隔离

层间介质的发展趋势是低 k 材料

*随着特征尺寸的减小,门延迟与互连延迟分别怎么变化

金属化

金属化、互连、接触、通孔的概念

金属化:应用化学或物理处理方法在绝缘介质薄膜上淀积金属薄膜

随后刻印图形以便形成互连金属线和集成电路的孔填充塞的过程

互连(interconnect):由导电材料,如铝、多晶硅或铜制成的连线将电信号传输到芯片的不同部分

接触(contact):硅芯片内的器件与第一层金属之间在硅表面的连接

通孔(via):穿过各种介质层从某一金属层到毗邻的另一金属层形成电通路的开口

硅芯片制造业中各种金属和金属合金

a)铝b)铝铜合金c)铜d)阻挡层金属e)硅化物 f)金属填充物

*IC互连金属化引入铜的优点

a)电阻率的减小:在20℃时,互连金属线的电阻率从铝的 2.65 mW-cm 减小到铜的

1.678 mW-cm ;减少RC的信号延迟,增加芯片速度

b)功耗的减少:减小了线的宽度,降低了功耗

c)更高的集成密度:更窄的线宽,允许更高密度的电路集成,这意味着需要更少的金属层

d)良好的抗电迁徒性能:铜不需要考虑电迁徒问题

e)更少的工艺步骤:用大马士革方法处理铜具有减少工艺步骤 20% to 30 %的潜力

自对准金属硅化物的形成工艺剖面图

*用双大马士革法的铜金属化的十个步骤

CMOS集成电路制造工艺

亚微米CMOS IC制造厂可分为哪六种独立的生产区?

a)扩散(包括氧化、膜淀积和掺杂工艺) b)光刻 c)刻蚀 d)薄膜 e)离子注入f)抛光

*以双阱工艺的CMOS反相器为例,CMOS制作的基本步骤,以及前六个步骤的剖面图或在完整剖面上表面各个步骤的位置

1)双阱工艺

2)浅槽隔离工艺

3)多晶硅栅结构工艺

4)轻掺杂漏(LDD)注入工艺

5)侧墙的形成

6)源/漏(S/D)注入工艺

7)接触孔的形成

8)局部互连工艺

9)通孔1和金属塞1的形成

10)金属1互连的形成

11)通孔2和金属塞2的形成

12)金属2互连的形成

13)制作金属3直到制作压点及合金

14)参数测试

STI工艺的三个步骤及剖面图

a)槽刻蚀

b)氧化物填充

c)氧化物平坦化

微电子工艺习题总结(DOC)

1. What is a wafer? What is a substrate? What is a die? 什么是硅片,什么是衬底,什么是芯片 答:硅片是指由单晶硅切成的薄片;芯片也称为管芯(单数和复数芯片或集成电路);硅圆片通常称为衬底。 2. List the three major trends associated with improvement in microchip fabrication technology, and give a short description of each trend. 列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势 答:提高芯片性能:器件做得越小,在芯片上放置得越紧密,芯片的速度就会提高。 提高芯片可靠性:芯片可靠性致力于趋于芯片寿命的功能的能力。为提高器件的可靠性,不间断地分析制造工艺。 降低芯片成本:半导体微芯片的价格一直持续下降。 3. What is the chip critical dimension (CD)? Why is this dimension important? 什么是芯片的关键尺寸,这种尺寸为何重要 答:芯片的关键尺寸(CD)是指硅片上的最小特征尺寸; 因为我们将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易产生。 4. Describe scaling and its importance in chip design. 描述按比例缩小以及在芯片设计中的重要性 答:按比例缩小:芯片上的器件尺寸相应缩小是按比例进行的 重要性:为了优电学性能,多有尺寸必须同时减小或按比例缩小。 5. What is Moore's law and what does it predict? 什么是摩尔定律,它预测了什么 答:摩尔定律:当价格不变时,集成电路上可容纳的晶体管数,月每隔18个月便会增加1倍,性能也将提升1倍。 预言在一块芯片上的晶体管数大约每隔一年翻一番。 第二章 6. What is the advantage of gallium arsenide over silicon? 砷化镓相对于硅的优点是什么 答:优点:具有比硅更高的电子迁移率;减小寄生电容和信号损耗的特性;集成电路的速度比硅电路更快;材料的电阻率更大。 7. What is the primary disadvantage of gallium arsenide over silicon? 砷化镓相对于硅的主要缺点是什么 答:主要缺点:缺乏天然氧化物;材料的脆性;成本比硅高10倍;有剧毒性在设备,工艺和废物清除设施中特别控制。

微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓 度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散, 因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 A. 诱生电荷 B. 鸟嘴效应 C. 陷阱电荷 D. 可移动电荷 4、浸入式光刻技术可以使193 nm 光刻工艺的最小线宽减小到45 nm 以下。它通过采用折射率高的 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

当今世界10项最有影响新技术

当今世界10项最有影响新技术 当今世界,科技发展日新月异,科学新概念层出不穷,新技术工艺相继闪亮登场。纳米材料、信息技术、生物制药、节能环保科技领域的创新和研发引人注目,鼓舞人心。一项新的科技发明会在不知不觉中改变我们的生活,影响社会发展的历程。 近日,俄罗斯《大众机械》杂志撰文指出,以下科技新技术会使人类生活因此而更加完美无缺。 1,相变随机闪存(PRAM) 手机、手提电脑等移动设备对存储器的要求,与服务器和台式电脑等截然不同。长期以来,人们对这些移动设备存储器的主要性能要求是低成本、低功耗以及非易失性。 但是,由于目前开发的各类存储器都有其自身缺陷,因而没有一款能够完全满足上述所有要求。例如,动态随机存储器成本低且能够随机访问,但遗憾的是存在易失性,即断电后会发生数据丢失;充当缓存的静态随机存储器读写速度快且能够随机访问,但缺点是成本较高;相比之下,闪存成本低且具有非易失性特点,然而苦于速度慢又无法随机访问。除此之外,目前的闪存制造技术也无法生产出存储容量超过16G的产品。 最新兴起的相变随机闪存技术,类似于CD和CD驱动器中所采用的技术。在PRAM中,电流将硫化薄膜加热至晶态或非晶态,因两种状态下的电阻率有很大差别,从而可判读为0或1,只要在上面施加少量的复位电流就能触发这两个状态的切换。 在现有的电子产品中,广泛使用的非易失性闪存有NOR和NAND两种:NOR 闪存适合直接运行软件,但它的速度较慢,而且造价昂贵;NAND闪存容易大规模制造,更适合存储大容量文件,如MP3音乐文件等。PRAM闪存则采用垂直二极管和三维晶体管结构,不需要在储存新数据前擦除旧数据,因而是非易失性的,也就是说,在电子设备关闭时仍能保存数据。 目前,三星公司在PRAM领域的研发处于世界领先水平,2006年已经展示了它的初级产品,这些新产品比现有普通闪存快30倍以上。三星公司表示,PRAM产品有望在2008年上市,它极有可能将成为NOR闪存的最终替代品。 2,汽车智能一体化 近10年来,信息技术的发展为交通运输行业带来了各种机遇,智能交通系统(ITS),便是其中最典型、最活跃、最具潜力而且全面应用了信息技术的一个交通运输发展综合领域。ITS就是信息技术———主要是计算机、通讯和

浅谈我对微电子的认识

[键入公司名称] 浅谈我对微电子的认识 [键入文档副标题] X [选取日期] [在此处键入文档摘要。摘要通常为文档内容的简短概括。在此处键入文档摘要。摘要通常为文档内容的简短概括。]

我是电子信息科学与技术专业的学生,考虑到微电子对我们专业知识学习的重要性,我怀着极大的热情报了《微电子入门》这门选修课。希望通过这门课的学习,使我对微电子有更深入的认识,以便为以后的专业课学习打下基础。 微电子是一门新兴产业,它的发展关系着国计民生。它不仅应用于科学领域,也被广泛应用于国防、航天、民生等领域。它的广泛应用,使人们的生活更见方便。现代人的生活越来越离不开电子。因此,对电子的了解显得十分重要。微电子作为电子科学的一个分支,也发挥着日益重要的作用。通过几周的学习,我对微电子有了初步的认识。 首先,我了解了微电子的发展史,1947年晶体管的发明,后来又结合印刷电路组装使电子电路在小型化的方面前进了一大步。到1958年前后已研究成功以这种组件为基础的混合组件。集成电路的主要工艺技术,是在50年代后半期硅平面晶体管技术和更早的金属真空涂膜学技术基础上发展起来的。1964年出现了磁双极型集成电路产品。 1962年生产出晶体管——晶体管理逻辑电路和发射极藉合逻辑电路。MOS集成电路出现。由于MOS电路在高度集成方面的优点和集成电路对电子技术的影响,集成电路发展越来越快。 70年代,微电子技术进入了以大规模集成电路为中心的新阶段。随着集成密度日益提高,集成电路正向集成系统发展,电路的设计也日益复杂、费时和昂贵。实际上如果没有计算机的辅助,较复杂的大规模集成电路的设计是不可能的。70年代以来,集成电路利用计算机的设计有很大的进展。制版的计算机辅助设计、器件模拟、电路模拟、逻辑模拟、布局布线的计算辅助设计等程序,都先后研究成功,并发展成为包括校核、优化等算法在内的混合计算机辅助设计,乃至整套设备的计算机辅助设计系统。 微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺

课程设计微电子器件与工艺课程设计报告

课程设计微电子器件与工艺课程设计报告

目录 1.设计任务及目标 (1) 2.课程设计的基本内容 (1) 2.1 pnp双极型晶体管的设计 (1) 2.2 设计的主要内容 (1) 3.晶体管工艺参数设计 (2) 3.1 晶体管的纵向结构参数设计 (2) 3.1.1 集电区杂质浓度的确定 (2) 3.1.2 基区及发射区杂质浓度 (3) 3.1.3 各区少子迁移率及扩散系数的确定 (3) 3.1.4 各区少子扩散长度的计算 (4) 3.1.5 集电区厚度的选择 (4) 3.1.6 基区宽度的计算 (4) 3.1.7 扩散结深 (6) 3.1.8 表面杂质浓度 (7) 3.2晶体管的横向设计 (8) 3.3工艺参数的计算 (8) 3.3.1 基区磷预扩时间 (8) 3.3.2基区磷再扩散时间计算 (8) 3.3.3 发射区硼预扩时间计算 (9) 3.3.4 发射区硼再扩散时间计算 (9) 3.3.5 基区磷扩散需要的氧化层厚度 (10) 3.3.6 发射区硼扩散需要的氧化层厚度 (11) 3.3.7 氧化时间的计算 (11) 3.3.8设计参数总结 (12) 4晶体管制造工艺流程 (13) 4.1硅片及清洗 (15) 4.2氧化工艺 (16)

4.3光刻工艺 (17) 4.3.1光刻原理 (17) 4.3.2具体工艺流程 (18) 4.3.3硼的扩散 (19) 4.3.4磷的扩散 (20) 5 版图 (20) 6总结 (23) 7参考文献 (23)

微电子器件与工艺课程设计报告 ——pnp 双极型晶体管的设计 1、课程设计目的与任务 《微电子器件与工艺课程设计》是继《微电子器件物理》、《微电子器件工艺》和《半导体物理》理论课之后开出的有关微电子器件和工艺知识的综合应用的课程,使我们系统的掌握半导体器件,集成电路,半导体材料及工艺的有关知识的必不可少的重要环节。 目的是使我们在熟悉晶体管基本理论和制造工艺的基础上,掌握晶体管的设计方法。要求我们根据给定的晶体管电学参数的设计指标,完成晶体管的纵向结构参数设计→晶体管的图形结构设计→材料参数的选取和设计→制定实施工艺方案 晶体管各参数的检测方法等设计过程的训练,为从事微电子器件设计、集成电路设计打下必要的基础。 2、课程设计的基本内容 2.1 pnp 双极型晶体管的设计 设计一个均匀掺杂的pnp 型双极晶体管,使T=300K 时,β=120。V CEO =15V,V CBO =80V.晶体管工作于小注入条件下,最大集电极电流为I C =5mA 。设计时应尽量减小基区宽度调制效应的影响。 2.2 设计的主要内容: (1)了解晶体管设计的一般步骤和设计原则。 (2)根据设计指标选取材料,确定材料参数,如发射区掺杂浓度N E ,,基区掺 杂浓度N B ,集电区掺杂浓度N C ,根据各区的掺杂浓度确定少子的扩散系数,迁移率,扩散长度和寿命等。 (3)根据主要参数的设计指标确定器件的纵向结构参数,如集电区厚度W c , 基区宽度W b ,发射极宽度W e 和扩散结深X jc ,发射结结深等。 (4)根据结深确定氧化层的厚度,氧化温度和氧化时间;杂质预扩散和再扩散 的扩散温度和扩散时间。 (5)根据设计指标确定器件的图形结构,设计器件的图形尺寸,绘制出基区、 发射区和金属接触孔的光刻版图。

微电子工艺技术-复习要点答案

第四章晶圆制造 1.CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 答:1、溶硅2、引晶3、收颈4、放肩5、等径生长6、收晶。CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长)。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。 CZ法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。 FZ法优点:①可重复生长,提纯单晶,单晶纯度较CZ法高。②无需坩埚、石墨托,污染少③高纯度、高电阻率、低氧、低碳④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 答:111和100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 答:在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 答:1、对晶圆A清洗并生成一定厚度的SO2层。2、注入一定的H形成富含H的薄膜。3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H脱离A和B键合。4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 7、名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 答:CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺 1. 列举IC芯片制造过程中热氧化SiO2的用途?

微电子论文

微电子学与医学的结合造福社会 刘畅自动化专业093班学号:090919 摘要: 微电子技术是现代电子信息技术的直接基础。现代微电子技术就是建立在以集成电路为核心的各种半导体器件基础上的高新电子技术。微电子技术的发展大大方便了人们的生活。它主要应用于生活中的各类电子产品,微电子技术的发展对电子产品的消费市场也产生了深远的影响。微电子技术过去在医学中的主要是应用于各类医疗器械的集成电路,在未来主要是生物芯片。生物芯片技术在医学、生命科学、药业、农业、环境科学等凡与生命活动有关的领域中均具有重大的应用前景。 一、引言:我所了解的微电子技术 1.定义微电子技术,顾名思义就是微型的电子电路。它是随着集成电路,尤其是超大规模集成电路而发展起来的一门新的技术。微电子技术是在电子电路和系统的超小型化和微型化过程中逐渐形成和发展起来的,其核心是集成电路,即通过一定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互联,采用微细加工工艺,集成在一块半导体单晶片上,并封装在一个外壳内,执行特定电路或系统功能。与传统电子技术相比,其主要特征是器件和电路的微小型化。它把电路系统设计和制造工艺精密结合起来,适合进行大规模的批量生产,因而成本低,可靠性高。它的特点是体积小、重量轻、 可靠性高、工作速度快,微电子技术对信息时代具有巨大的影响。它包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,是微电子学中的各项工艺技术的总和。 2.发展历史:微电子技术是十九世纪末,二十世纪初开始发展起来的新兴技术,它在二十世纪迅速发展,成为近代科技的一门重要学科。它的发展史其实就是集成电路的发展史。1904 年,英国科学家弗莱明发明了第一个电子管——二极管,不就美国科学家发明了三极管。电子管的发明,使得电子技术高速发展起来。它被广泛应用于各个领域。1947 年贝尔实验室制成了世界上第一个晶体管。体积微小的晶体管使集成电路的出现有了可能。之后,美国得克萨斯仪器公司的基比尔按其思路,于1958 年制成了第一个集成电路的模型,1959 年德州仪器公司宣布发明集成电路。至此集成电路便诞生了。集成电路发明后,其发展非常迅速,其制作工艺不断进步,规模不断扩大。至今集成电路的集成度已提高了500 万倍,特征尺寸缩小200 倍,单个器件成本下降100 万倍。 3.微电子技术的应用:微电子技术广泛应用于民用、军方、航空等多个方面。现在人类生产的电子产品几乎都应用到了微电子技术。可以这么说微电子技术改变了我们的生活方式。微电子技术对电子产品的消费市场也产生了深远的影响。价廉、可靠、 体积小、重量轻的微电子产品,使电子产品面貌一新;微电子技术产品和微处理器不再是专门用于科学仪器世界的贵族,而落户于各式各样的普及型产品之中,进人普通百姓家。例如电子玩具、游戏机、学习机及其他家用电器产品等。就连汽车这种传统的机械产品也渗透进了微电子技术,采用微电子技术的电子引擎监控系统。汽车安全防盗系统、出租车的计价器等已得到广泛应用,现代汽车上有时甚至要有十几个到几十个微处理器。现代的广播电视系统更是使微电子技术大有用武之地的领域,集成电路代替了彩色电视机中大部分分立元件组成的功能电路,使电视机电路简捷清楚,维修方便,价格低廉。由于采用微电子技术的数字调谐技术,使电视机可以对多达100 个频道任选,而且大大提高了声音、图像的保真度。总之,微电子技术已经渗透到诸如现代通信、计算机技术、医疗卫生、

微电子加工工艺总结

1、分立器件和集成电路的区别 分立元件:每个芯片只含有一个器件;集成电路:每个芯片含有多个元件。 2、平面工艺的特点 平面工艺是由Hoerni于1960年提出的。在这项技术中,整个半导体表面先形成一层氧化层,再借助平板印刷技术,通过刻蚀去除部分氧化层,从而形成一个窗口。 P-N结形成的方法: ①合金结方法 A、接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融。 B、冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个再分布结晶区,这样就得到了一个 pn结。 合金结的缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 生长结的缺点:不适宜大批量生产。 扩散结的形成方式 与合金结相似点: 表面表露在高浓度相反类型的杂质源之中 与合金结区别点: 不发生相变,杂质靠固态扩散进入半导体晶体内部 扩散结的优点 扩散结结深能够精确控制。 平面工艺制作二极管的基本流程: 衬底制备——氧化——一次光刻(刻扩散窗口)——硼预沉积——硼再沉积——二次光刻(刻引线孔)——蒸铝——三次光刻(反刻铝电极)——P-N结特性测试 3、微电子工艺的特点

高技术含量设备先进、技术先进。 高精度光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而精度更在上述尺度之上。超纯指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 超净环境、操作者、工艺三个方面的超净,如 VLSI在100级超净室10级超净台中制作。 大批量、低成本图形转移技术使之得以实现。 高温多数关键工艺是在高温下实现,如:热氧化、扩散、退火。 4、芯片制造的四个阶段 固态器件的制造分为4个大的阶段(粗线条): ①材料制备 ②晶体生长/晶圆准备 ③晶圆制造、芯片生成 ④封装 晶圆制备: (1)获取多晶 (2)晶体生长----制备出单晶,包含可以掺杂(元素掺杂和母金掺杂) (3)硅片制备----制备出空白硅片 硅片制备工艺流程(从晶棒到空白硅片): 晶体准备(直径滚磨、晶体定向、导电类型检查和电阻率检查)→ 切片→研磨→化学机械抛光(CMP)→背处理→双面抛光→边缘倒角→抛光→检验→氧化或外延工艺→打包封装 芯片制造的基础工艺 增层——光刻——掺杂——热处理 5、high-k技术

微电子工艺作业参考答案(第1(第10次))-

微电子工艺作业参考答案(第1(第10次))- 微电子工艺操作参考答案 第一次操作(全体参与) 1,微电子在人类社会中的作用简述 a:自20世纪40年代晶体管诞生以来,微电子技术发展极为迅速,现已进入大规模集成电路和系统集成时代,成为整个信息时代的标志和基础。毫不夸张地说,如果没有微电子技术,今天就不会有信息社会。 纵观人类社会发展的文明史,生产方式的所有重大变化都是由新的科学发明引起的。科学技术作为第一生产力,推动着社会的发展。1774年,英国格拉斯哥大学的修理工瓦特发明了蒸汽机,这引发了第一次工业革命,产生了现代纺织和机械制造业,把人类带入了一个机器被用来扩展和发展人类体力劳动的时代。1866年,德国科学家西门子发明了发电机,引发了以电气化工业为代表的第二次技术革命。 目前,我们正在经历一场新的技术革命。虽然第三次技术革命包括新材料、新能源、生物工程、海洋工程、航天工程和电子信息技术等。,以微电子学为核心的电子信息技术仍然是影响最大、渗透力最强和最具代表性的新技术革命。 信息是客观事物状态和运动特征的共同表现,是仅次于物质和能量的第三大资源,是人类物质文明和精神文明赖以发展的三大支柱之一。当前,世界正处于一场跨越时空的新信息技术革命之中。它将对

社会经济、政治和文化产生比人类历史上任何其他技术革命更大的影响。它将改变我们人类生产、生活、工作和治理国家的方式。 实现社会信息化的关键是各种计算机和通信设备,但其基础是半导体和微电子技术。1946年,世界上第一台电子计算机ENIAC诞生于宾夕法尼亚大学摩尔学院,运行速度仅为每秒5000次,存储容量仅为1000位,平均稳定运行时间仅为7分钟。当时,专家认为世界上只有四个ENIAC单元就足够了。然而,仅仅半个多世纪后,现在世界上有数亿台计算机。微电子学是这一巨大变化的技术基础。现在,电子信息产业已经成为世界上最大的产业毫无疑问,21世纪将是信息化的世纪。 微电子产业在国民经济中的战略地位首先体现在现代食物链的关系上。现代经济发展数据显示,每增加100元的国内生产总值就需要10元左右的电子产业增加值的支撑,其中包括2-3元的集成电路产品。如果单位质量钢筋对国内生产总值的贡献是1,汽车数量是5,彩电数量是30,计算机数量是1000,而集成电路的贡献在XXXX高达1英寸,这大大降低了芯片的成本。(3)硅氧化物二氧化硅性能稳定,在集成电路制造过程中有多种用途,如掩膜、钝化膜、介质隔离、绝缘介质(多层布线)、绝缘栅、金属氧化物半导体电容器的介质材料等。(4)多晶硅(Poly-Si),另一种形式的硅半导体材料 ,在集成电路技术中有许多应用,例如栅极(可实现源漏自对准工

2012级微电子工艺学试卷(A卷)参考答案

华中科技大学光学与电子信息学院考试试卷(A卷) 2014~2015学年度第一学期 课程名称:微电子工艺学考试年级:2012级 考试时间:2015 年1 月28 日考试方式:开卷 学生姓名学号专业班级 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共10小题,每小题2分,共20分) 1、随着器件特征尺寸不断缩小、电路性能不断完善、集成度不断提高,互连线所占面积已成为决定芯片面积的主要因素,互连线导致的延迟已可与器件门延迟相比较,单层金属互连逐渐被多层金属互连取代。(√) 2、采用区熔法进行硅单晶生长时,利用分凝现象将物料局部熔化形成狭窄的熔区,并令其沿锭长从一端缓慢地移动到另一端,重复多次使杂质被集中在尾部或头部,使中部材料被提纯。区熔法一次提纯的效果比直拉法好,可以制备更高纯度的单晶。(×) 3、缺陷的存在对微电子器件利弊各半:在有源区不希望有二维和三维缺陷,而在非有源区的缺陷能够吸引杂质聚集,使邻近有源区内杂质减少,是有好处的。(√) 4、光刻胶的灵敏度是指完成曝光所需最小曝光剂量(mJ/cm2),由曝光效率决定(通常负胶比正胶有更高曝光效率) 。灵敏度大的光刻胶曝光时间较短,但曝光效果较差。(×) 5、无论对于PMOS还是NMOS器件,要得到良好受控的阈值电压,需要控制氧化层厚度、沟道掺杂浓度、金属半导体功函数以及氧化层电荷。(√) 6、半导体掺杂中掺入的杂质必须是电活性的,能提供所需的载流子,使许多微结构和器件得以实现。掺杂的最高极限由杂质固溶度决定,最低极限由硅晶格生长的杂质决定。(√) 7、离子注入过程是一个平衡过程,带有一定能量的入射离子在靶材内同靶原子核及其核外电子碰撞,逐步损失能量,最后停下来。(×) 8、溅射仅是离子对物体表面轰击时可能发生的四种物理过程之一,其中每种物理过程发生的几率取决于入射离子的剂量。(√) 9、等离子体刻蚀的优点是刻蚀速率较高、刻蚀选择性较好和刻蚀损伤较低,缺点是存在各向异性倾向。 (×) 10、MOS器件中的轻掺杂漏(LDD,Lightly Doped Drain)结构提供了一个从沟道到重掺杂源漏区的过渡,从而降低漏端电场,消除热载流子效应。同时,通过减小源漏结面向沟道区的结面积,抑制短沟效应。 (√) 二、选择填空。(本大题共10小题,每小题2分,共20分。在每小题给出的四个选项中,只一个选项正确。) 1、重离子每次碰撞传输给靶的能量较大,散射角小,获得大能量的位移原子还可使许多原子移位。注入离子的能量损失以核碰撞为主。同时,射程较短,在小体积内有较大损伤。重离子注入所造成的损伤( B) 。 A. 区域大,密度大 B. 区域小,密度大 C. 区域小,密度小 D. 区域大,密度小 2、Ⅲ、Ⅴ族元素在硅中的扩散运动是建立在杂质与空位相互作用的基础上的,掺入的施主或受主杂质诱导出了大量荷电态空位,从而(A) 。

微电子技术及其应用

微电子技术及其应用 041050107陈立 一、微电子技术简介 如今,世界已经进入信息时代,飞速发展的信息产业是这个时代的特征。而微电子技术制造的芯片则是大量信息的载体,它不仅可以储存信息,还能处理和加工信息。因此,微电子技术在如今已是不可或缺的生活和生产要素。 微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及系统的电子学分支。 作为电子学的分支学科,它主要研究电子或粒子在固体材料中的运动规律及其应用,并利用它实现信号处理功能的科学,以实现电路的系统和集成为目的,实用性强。微电子学又是信息领域的重要基础学科,在这一领域上,微电子学是研究并实现信息获取、传输、存储、处理和输出的科学,是研究信息获取的科学,构成了信息科学的基石,其发展水平直接影响着整个信息技术的发展。微电子科学技术的发展水平和产业规模是一个国家经济实力的重要标志。 微电子学是一门综合性很强的边缘学科,其中包括了半导体器件物理、集成电路工艺和集成电路及系统的设计、测试等多方面的内容;涉及了固体物理学、量子力学、热力学与统计物理学、材料科学、电子线路、信号处理、计算机辅助设计、测试和加工、图论、化学等多个领域。 微电子学是一门发展极为迅速的学科,高集成度、低功耗、高性能、高可靠性是微电子学发展的方向。信息技术发展的方向是多媒体(智能化)、网络化和个体化。要求系统获取和存储海量的多媒体信息、以极高速度精确可靠的处理和传输这些信息并及时地把有用信息显示出来或用于控制。所有这些都只能依赖于微电子技术的支撑才能成为现实。超高容量、超小型、超高速、超高频、超低功耗是信息技术无止境追求的目标,是微电子技术迅速发展的动力。 微电子学渗透性强,其他学科结合产生出了一系列新的交叉学科。微机电系统、生物芯片就是这方面的代表,是近年来发展起来的具有广阔应用前景的新技术。 二、微电子技术核心—-集成电路技术 集成电路(integrated circuit)是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,这样,整个电路的体积大大缩小,且引出线和焊接点的数目也大为减少,从而使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。它在电路中用字母“IC”。 集成电路的分类 1.按功能结构分类 集成电路按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/模混合集成电路 模拟集成电路又称线性电路,用来产生、放大和处理各种模拟信号(指幅度随时间变化的信号。例如半导体收音机的音频信号、录放机的磁带信号等),其输入信号和输出信号成

微电子技术的发展

微 电子技术的发展 摘要:微电子技术是科技发展到一定阶段的时代产物,是对当今社会经济最具影响力的高新技术之一。本文主要对微电子技术的概念、发展及其在社会各大产业中的应用进行了浅析的探讨。 【关键词】微电子技术发展应用 微电子技术的核心技术是半导体集成电路,微电子技术的发展及应用影响我们生产生活的方方面面。对促使经济发展,人类的进步有着巨大的影响力。随着社会经济的发展,为了达到社会经济的发展对微电子技术的需求,实现社会经济在技术支持下快捷稳定发展,我们必须要不断地对微电子技术进行优化和改进,积极地探索更深层次的微电子技术知识,使微电子技术更好地服务于社会经济发展。相信微电子技术不仅是在当今,乃至未来社会发展中微电子技术必将是促使社会发展进步的主导产业。 1微电子技术的概念 微电子技术是信息化时代最具代表性的高新技术之一,它的核心技术半导体集成电路技,术由电路设计、工艺技术、检测技术、材料配置以及物理组装等购置技术体系。微电子技术基于自身集成化程度高,反应敏捷、占用空间较小等优势特点目前在有关涉及电子产业中得以广泛的应用。 2 微电子技术的发展现状 国外微电子的发展 自1965年发明第一块集成电路以来,特别是过去的十年中,全球微电子产业一直处于高速发展的时期,推动着信息产业的高速发展。集成电路产业及其产品是带动整个经济增长的重要因素。集成电路已发展到超大规模和甚大规模、深亚微米μ

m)精度和可集成数百万晶体管的水平,现在已把整个电子系统集成在一个芯片上。人们认为:微电子技术的发展和应用使全球发生了第三次工业革命。1965年,Intel 公司创始人之一的董事长Gorden Moore在研究存贮器芯片上晶体管增长数的时间关系时发现,每过18~24个月,芯片集成度提高一倍。这一关系被称为穆尔定律(Moores Law),一直沿用至今。自从20 世纪50 年代后期集成电路问世以来, 就一直追求在芯片上有更多的晶体管, 能够完成更多的功能, 从一代到下一代芯片的基本价格变化却很小, 这是由于较高的集成度导致完成每项功能的价格降低。这是驱动芯片发展的最基本动力。现在还在向更小的工艺发展。技术飞速的进步, 促使人们不断探究现代半导体器件最终的物理极限。 国内微电子发展 早在1965年,我国的集成电路就开始起步,而此时世界上最著名的芯片制造商英特尔还没有成立。由于体制等众多的原因,我国在这一领域与国外差距越来越大。目前,我国集成电路产业已具备了一定的发展规模,形成了从电路设计、芯片制造和电路封装三业并举,与集成电路有关的主要材料、测试设备、仪器等支持业也相继配套发展,在地域上呈现相对集中的格局,京津、苏浙沪、粤闽地区成为集成电路产业较为发达的区域。。我国集成电路设计业在过去的几年中有了长足的进步,高等院校、科研院所、企业从事集成电路设计的单位越来越多。然而国内集成电路设计企业规模,设计人员的平均数量还未达到国际同类公司的水平。随着信息时代的到来,微电子技术得以快速发展,在信息时代中扮演中重要角色,是影响时代发展的关键技术之一。从微电子技术的发展历程来看,上世纪五十年代贝尔实验室发明了晶体管,晶体管的面世标志着微电子技术的诞生。在随后的几年内经过科学家的不断努力,又发明了集成电路。集成电路的发明为后来的微型计算机的发明奠定了坚实的技术基础。直至上世纪七十年代,集成电路在微型计算机中的成功应用,标志着微电子技术的发展达到了空前的高度。随着微电子技术的进一步发展,以集成电路为核心的微电子技术经过科学家的优化和改进,较上世界刚诞生的微电子技术集成化程度足足提高了近500 万倍,另外在微电子技术产品体积方面也大大地缩小。一个微小的单独的集成片就能集成几千万个集体管。自改革开发以来,国家对微电

微电子工艺新技术

微电子工艺新技术发展 陈绮文 单位:电科112,信息与电子工程学院,山东工商学院,264005 摘要:21世纪微电子技术发展需要新技术,才能真正快速发展。集成技术要求硅晶圆片的尺寸越来越大,光刻加工线条(特征尺寸)越来越细。系统集成芯片(SOC),SOC进一步发展,可以将各种敏感器和执行器与信息处理系统集成在一起,从而完成从信息获取、处理、存储、传输到执行的系统功能,这是一个更广义上的系统集成芯片。微机电系统技术(MEMS)把信息获取、处理和执行一体化地集成在一起,使其成为真正的系统。纳米技术的发展以及成熟将是系统集成芯片技术的核心之一,碳纳米材料代替硅纳米材料,具有更好的集成性,推动微电子技术继续向前发展。 关键词:集成技术SOC技术MEMS技术纳米电子技术 21世纪微电子技术建立在以Si基材料为基础、CMOS器件为主流的半导体集成电路技术。随着IC设计与工艺水平的不断提高,系统集成芯片将成为发展的重点,MEMS技术是微电子技术新的增长点。同时,纳米电子技术是微电子领域的新亮点。 1.微电子集成技术 现今的主流工艺,硅基CMOS电路。硅基技术的越来成熟,硅基CMOS芯片应用的逐渐扩大,硅平面的加工工艺技术作为高新技术基础的高新加工技术也将继续下去。硅半导体集成电路的发展,一方面是硅晶圆片的尺寸越来越大,另一方面是光刻加工线条(特征尺寸)越来越细。1(从硅片尺寸来看,从最初的2英寸,经过3、4、6英寸发展到当今主流的8英寸。近几年来又出现12英寸的硅晶片,直径达300mm,它的面积为8英寸片(200mm)的2.25倍。尺寸变大,可以降低生产成本,增加产能,提高成品率。)而在光刻加工线条(特征尺寸)方面,在0.25um技术之后,已有0.18um、0.15um以至0.13um技术连续开发出来并投入使用。现今主流产品的特征尺寸已缩小到0.18~0.13um。据国际权威机构预测,到2014年,微电子芯片加工技术将达到400mm硅片、50nm特征

微电子器件工艺

《微电子器件工艺》课程设计报告 班级:电子09-2 学号: 0906040206 姓名:高春旭 指导教师:白立春

N阱硅栅结构的CMOS集成电工艺设计 一.基本要求 设计如下电路的工艺流程 (1)设计上图所示电路的生产工艺流程: (2)每一具体步骤需要画出剖面图; (3)每一个步骤都要求说明,例如进行掺杂时,是采用扩散还是离子注入,需要 解释原因,又如刻蚀,采用的是干法刻蚀,还是湿法刻蚀,这类问题都须详细说明. (4)在设计时,要考虑隔离,衬底选择等问题. (5)要求不少于5页,字迹工整,画图清楚. 二、设计的具体实现 2.1 工艺概述 n阱工艺为了实现与LSI的主流工艺增强型/耗层型(E/D)的完全兼容,n 阱CMOS工艺得到了重视和发展。它采用E/D NMOS的相同的p型衬底材料制备NMOS器件,采用离子注入形成的n阱制备PMOS器件,采用沟道离子注入调整两种沟遭器件的阈值电压。 n阱CMOS工艺与p阱CMOS工艺相比有许多明显的优点。首先是与E/D NMOS工艺完全兼容,因此,可以直接利用已经高度发展的NMOS 工艺技术;其次是制备在轻掺杂衬底上的NMOS的性能得到了最佳化--保持了高的电子迁移率,低的体效应系数,低的n+结的寄生电容,降低了漏结势垒区的电场强度,从而降低了电子碰撞电离所产生的电流等。这个优点对动态CMOS电路,如时钟CMOS电路,多米诺电路等的性能改进尤其明显。

这是因为在这些动态电路中仅采用很少数目的PMOS器件,大多数器件是NMOS 型。另外由于电子迁移率较高,因而n阱的寄生电阻较低;碰撞电离的主要来源—电子碰撞电离所产生的衬底电流,在n阱CMOS中通过较低寄生电阻的衬底流走。而在p阱CMOS中通过p阱较高的横向电阻泄放,故产生的寄生衬底电压在n阱CMOS中比p阱要小。在n阱CMOS中寄生的纵向双极型晶体管是PNP型,其发射极电流增益较低,n阱CMOS结构中产生可控硅锁定效应的几率较p阱为低。由于n阱 CMOS的结构的工艺步骤较p阱CMOS简化,也有利于提高集成密度.例如由于磷在场氧化时,在n阱表面的分凝效应,就可以取消对PMOS的场注入和隔离环。杂质分凝的概念:杂质在固体-液体界面上的分凝作用 ~ 再结晶层中杂质的含量决定于固溶度→ 制造合金结(突变结);杂质在固体-固体界面上也存在分凝作用 ~ 例如,对Si/SiO2界面:硼的分凝系数约为3/10,磷的分凝系数约为10/1;这就是说,掺硼的Si经过热氧化以后, Si表面的硼浓度将减小,而掺磷的Si 经过热氧化以后, Si表面的磷浓度将增高)。 n阱CMOS基本结构中含有许多性能良好的功能器件,对于实现系统集成及接口电路也非常有利。图A (a)和(b)是p阱和n阱CMOS结构的示意图。 N阱硅栅CMOS IC的剖面图 N离子注入 2.2 现在COMS工艺多采用的双阱工艺制作步骤主要表现为以下几个步骤:

微电子技术发展历程及趋势

微电子技术发展历程及趋势 微电子技术简介 微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。 起源 第二次大战中、后期,由于军事需要对电子设备提出了不少具有根本意义的设想,并研究出一些有用的技术。这就是最早的微电子技术。 逐步发展 1947年晶体管的发明,后来又结合印刷电路组装使电子电路在小型化的方面前进了一大步。到1958年前后已研究成功以这种组件为基础的混合组件。集成电路的主要工艺技术,是在50年代后半期硅平面晶体管技术和更早的金属真空涂膜学技术基础上 发展起来的。1964年出现了磁双极型集成电路产品。1 1962年生产出晶体管——晶体管理逻辑电路和发射极藉 合逻辑电路。MOS集成电路出现。由于MOS电路在高度集成方 面的优点和集成电路对电子技术的影响,集成电路发展越来越 快。 趋于成熟 70年代,微电子技术进入了以大规模集成电路为中心的新阶段。随着集成密度日益提高,集成电路正向集成系统发展,电路的设计也日益复杂、费时和昂贵。实际上如果没有计算机的辅助,较复杂的大规模集成电路的设计是不可能的。70年代以来,集成电路利用计算机的设计有很大的进展。制版的计算机辅助设计、器件模拟、电路模拟、逻辑模拟、布局布线的计算辅助设计等程序,都先后研究成功,并发展成为包括校核、优化等算法在内的混合计算机辅助设计,乃至整套设备的计算机辅助设计系统。 集成电路制造的计算机管理,也已开始实现。此外,与 大规模集成和超大规模集成的高速发展相适应,有关的器件材料科学和技术、测试科学和计算机辅助测试、封装技术和超净室技术等都有重大的进展。电子技术发展很快,在工艺技术上,微细加工技术,如电子束、离子束、X射线等复印技术和干法刻蚀技术日益完善,使生产上在到亚微米以至 更高的光刻水平,集成电路的集成弃将超大型越每片106—107个元件,以至达到全图片上集成一个复杂的微电子系统。高质量的超薄氧化层、新的离子注入退火技术、高电导高熔点金属以其硅化物金属化和浅欧姆结等一系列工艺技

微电子工艺技术

课程简介 课程号:11194050 课程名称:微电子工艺技术英文名称:Microelectronics Technology 周学时:3.0-0.0学分:3 预修要求:微电子学、固体物理与半导体物理、集成电路 内容简介: 了解集成电路制造工艺技术是从事集成电路设计、制造和研究人员所必须的。为此所开设的微电子工艺技术课程,是微电子技术专业的一门必修课。通过本课程的学习,使学生对半导体器件和半导体集成电路的制造工艺及原理、工艺设备及工艺流程有一个较为完整和系统的概念,並具有一定的工艺分析和设计以及解决工艺问题和提高产品质量的能力。是一门与实际联系紧密的课程。 主要内容包括:微电子加工工艺环境及衬底制备技术;扩散和离子注入两种搀杂技术的原理、杂质分布的数学描述和具体工艺条件的选取和计算;外延和氧化、PVD等薄膜生长技术的原理、工艺过程和影响质量的诸因素;光刻和刻蚀微细图形转移技术;集成电路工艺整合等问题。 选用教材或参考书: 教材:《ULSI Technology》,C.Y.Chang,Publisher: McGraw-Hill Science/Engineering/Math;ASIN: 0070630623 ;January 12, 1996,Editions: 2nd 主要参考书: 1. 《Introduction to Microelectronic Fabrication》(2nd Edition) ,Richard C. Jaeger,Prentice Hall,October 17, 2001,ISBN: 020******* 2. 《Silicon VLSI Technology:Fundemantals, Practice, and Modeling》Peter B.Griffin Publisher: Prentice Hall; ISBN: 0130850373 ; 1 edition (July 14, 2000)

相关文档
最新文档