微电子工艺学课件_11

微电子工艺习题总结(DOC)

1. What is a wafer? What is a substrate? What is a die? 什么是硅片,什么是衬底,什么是芯片 答:硅片是指由单晶硅切成的薄片;芯片也称为管芯(单数和复数芯片或集成电路);硅圆片通常称为衬底。 2. List the three major trends associated with improvement in microchip fabrication technology, and give a short description of each trend. 列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势 答:提高芯片性能:器件做得越小,在芯片上放置得越紧密,芯片的速度就会提高。 提高芯片可靠性:芯片可靠性致力于趋于芯片寿命的功能的能力。为提高器件的可靠性,不间断地分析制造工艺。 降低芯片成本:半导体微芯片的价格一直持续下降。 3. What is the chip critical dimension (CD)? Why is this dimension important? 什么是芯片的关键尺寸,这种尺寸为何重要 答:芯片的关键尺寸(CD)是指硅片上的最小特征尺寸; 因为我们将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易产生。 4. Describe scaling and its importance in chip design. 描述按比例缩小以及在芯片设计中的重要性 答:按比例缩小:芯片上的器件尺寸相应缩小是按比例进行的 重要性:为了优电学性能,多有尺寸必须同时减小或按比例缩小。 5. What is Moore's law and what does it predict? 什么是摩尔定律,它预测了什么 答:摩尔定律:当价格不变时,集成电路上可容纳的晶体管数,月每隔18个月便会增加1倍,性能也将提升1倍。 预言在一块芯片上的晶体管数大约每隔一年翻一番。 第二章 6. What is the advantage of gallium arsenide over silicon? 砷化镓相对于硅的优点是什么 答:优点:具有比硅更高的电子迁移率;减小寄生电容和信号损耗的特性;集成电路的速度比硅电路更快;材料的电阻率更大。 7. What is the primary disadvantage of gallium arsenide over silicon? 砷化镓相对于硅的主要缺点是什么 答:主要缺点:缺乏天然氧化物;材料的脆性;成本比硅高10倍;有剧毒性在设备,工艺和废物清除设施中特别控制。

微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓 度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散, 因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 A. 诱生电荷 B. 鸟嘴效应 C. 陷阱电荷 D. 可移动电荷 4、浸入式光刻技术可以使193 nm 光刻工艺的最小线宽减小到45 nm 以下。它通过采用折射率高的 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

微电子加工工艺总结

1、分立器件和集成电路的区别 分立元件:每个芯片只含有一个器件;集成电路:每个芯片含有多个元件。 2、平面工艺的特点 平面工艺是由Hoerni于1960年提出的。在这项技术中,整个半导体表面先形成一层氧化层,再借助平板印刷技术,通过刻蚀去除部分氧化层,从而形成一个窗口。 P-N结形成的方法: ①合金结方法 A、接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融。 B、冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个再分布结晶区,这样就得到了一个 pn结。 合金结的缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 生长结的缺点:不适宜大批量生产。 扩散结的形成方式 与合金结相似点: 表面表露在高浓度相反类型的杂质源之中 与合金结区别点: 不发生相变,杂质靠固态扩散进入半导体晶体内部 扩散结的优点 扩散结结深能够精确控制。 平面工艺制作二极管的基本流程: 衬底制备——氧化——一次光刻(刻扩散窗口)——硼预沉积——硼再沉积——二次光刻(刻引线孔)——蒸铝——三次光刻(反刻铝电极)——P-N结特性测试 3、微电子工艺的特点

高技术含量设备先进、技术先进。 高精度光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而精度更在上述尺度之上。超纯指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 超净环境、操作者、工艺三个方面的超净,如 VLSI在100级超净室10级超净台中制作。 大批量、低成本图形转移技术使之得以实现。 高温多数关键工艺是在高温下实现,如:热氧化、扩散、退火。 4、芯片制造的四个阶段 固态器件的制造分为4个大的阶段(粗线条): ①材料制备 ②晶体生长/晶圆准备 ③晶圆制造、芯片生成 ④封装 晶圆制备: (1)获取多晶 (2)晶体生长----制备出单晶,包含可以掺杂(元素掺杂和母金掺杂) (3)硅片制备----制备出空白硅片 硅片制备工艺流程(从晶棒到空白硅片): 晶体准备(直径滚磨、晶体定向、导电类型检查和电阻率检查)→ 切片→研磨→化学机械抛光(CMP)→背处理→双面抛光→边缘倒角→抛光→检验→氧化或外延工艺→打包封装 芯片制造的基础工艺 增层——光刻——掺杂——热处理 5、high-k技术

微电子工艺技术

课程简介 课程号:11194050 课程名称:微电子工艺技术英文名称:Microelectronics Technology 周学时:3.0-0.0学分:3 预修要求:微电子学、固体物理与半导体物理、集成电路 内容简介: 了解集成电路制造工艺技术是从事集成电路设计、制造和研究人员所必须的。为此所开设的微电子工艺技术课程,是微电子技术专业的一门必修课。通过本课程的学习,使学生对半导体器件和半导体集成电路的制造工艺及原理、工艺设备及工艺流程有一个较为完整和系统的概念,並具有一定的工艺分析和设计以及解决工艺问题和提高产品质量的能力。是一门与实际联系紧密的课程。 主要内容包括:微电子加工工艺环境及衬底制备技术;扩散和离子注入两种搀杂技术的原理、杂质分布的数学描述和具体工艺条件的选取和计算;外延和氧化、PVD等薄膜生长技术的原理、工艺过程和影响质量的诸因素;光刻和刻蚀微细图形转移技术;集成电路工艺整合等问题。 选用教材或参考书: 教材:《ULSI Technology》,C.Y.Chang,Publisher: McGraw-Hill Science/Engineering/Math;ASIN: 0070630623 ;January 12, 1996,Editions: 2nd 主要参考书: 1. 《Introduction to Microelectronic Fabrication》(2nd Edition) ,Richard C. Jaeger,Prentice Hall,October 17, 2001,ISBN: 020******* 2. 《Silicon VLSI Technology:Fundemantals, Practice, and Modeling》Peter B.Griffin Publisher: Prentice Hall; ISBN: 0130850373 ; 1 edition (July 14, 2000)

微电子工艺习题总结

微电子工艺习题总结

第一章 1. What is a wafer? What is a substrate? What is a die? 什么是硅片,什么是衬底,什么是芯片 答:硅片是指由单晶硅切成的薄片;芯片也称为管芯(单数和复数芯片或集成电路);硅圆片通常称为衬底。 2. List the three major trends associated with improvement in microchip fabrication technology, and give a short description of each trend. 列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势 答:提高芯片性能:器件做得越小,在芯片上放置得越紧密,芯片的速度就会提高。 提高芯片可靠性:芯片可靠性致力于趋于芯片寿命的功能的能力。为提高器件的可靠性,不间断地分析制造工艺。 降低芯片成本:半导体微芯片的价格一直持续下降。

3. What is the chip critical dimension (CD)? Why is this dimension important? 什么是芯片的关键尺寸,这种尺寸为何重要 答:芯片的关键尺寸(CD)是指硅片上的最小特征尺寸; 因为我们将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易产生。 4. Describe scaling and its importance in chip design. 描述按比例缩小以及在芯片设计中的重要性 答:按比例缩小:芯片上的器件尺寸相应缩小是按比例进行的 重要性:为了优电学性能,多有尺寸必须同时减小或按比例缩小。 5. What is Moore's law and what does it predict? 什么是摩尔定律,它预测了什么 答:摩尔定律:当价格不变时,集成电路上可容

微电子工艺习题参考解答

CRYSTAL GROWTH AND EXPITAXY 1.画出一50cm 长的单晶硅锭距离籽晶10cm 、20cm 、30cm 、40cm 、45cm 时砷的掺杂分布。(单晶硅锭从融体中拉出时,初始的掺杂浓度为1017cm -3) 2.硅的晶格常数为5.43?.假设为一硬球模型: (a)计算硅原子的半径。 (b)确定硅原子的浓度为多少(单位为cm -3)? (c)利用阿伏伽德罗(Avogadro)常数求出硅的密度。 3.假设有一l0kg 的纯硅融体,当硼掺杂的单晶硅锭生长到一半时,希望得到0.01 Ω·cm 的电阻率,则需要加总量是多少的硼去掺杂? 4.一直径200mm 、厚1mm 的硅晶片,含有5.41mg 的硼均匀分布在替代位置上,求: (a)硼的浓度为多少? (b)硼原子间的平均距离。 5.用于柴可拉斯基法的籽晶,通常先拉成一小直径(5.5mm)的狭窄颈以作为无位错生长的开始。如果硅的临界屈服强度为2×106g/cm2,试计算此籽晶可以支撑的200mm 直径单晶硅锭的最大长度。 6.在利用柴可拉斯基法所生长的晶体中掺入硼原子,为何在尾端的硼原子浓度会比籽晶端的浓度高? 7.为何晶片中心的杂质浓度会比晶片周围的大? 8.对柴可拉斯基技术,在k 0=0.05时,画出C s /C 0值的曲线。 9.利用悬浮区熔工艺来提纯一含有镓且浓度为5×1016cm -3的单晶硅锭。一次悬浮区熔通过,熔融带长度为2cm ,则在离多远处镓的浓度会低于5×1015cm -3? 10.从式L kx s e k C C /0)1(1/---=,假设k e =0.3,求在x/L=1和2时,C s /C 0的值。 11.如果用如右图所示的硅材料制造p +-n 突变结二极管,试求用传统的方法掺杂和用中子辐照硅的击穿电压改变的百分比。 12.由图10.10,若C m =20%,在T b 时,还剩下多少比例的液体? 13.用图10.11解释为何砷化镓液体总会变成含镓比较多? 14.空隙n s 的平衡浓度为 Nexp[-E s /(kT)],N 为半导体原子的浓度,而E s 为形成能量。计算硅在27℃、900℃和1 200℃的n s (假设E s =2.3eV). 15.假设弗兰克尔缺陷的形成能量(E f ) 为1.1eV ,计算在27℃、900℃时的缺陷密度.弗兰克尔缺陷的平衡密度是错误!未找到

微电子制造工艺课程教学大纲

《微电子制造工艺》课程教学大纲 一、课程说明 (一)课程名称、所属专业、课程性质、学分; 课程名称:微电子制造工艺 所属专业:微电子科学与工程 课程性质:专业必修课 学分: 4 (二)课程简介、目标与任务; 本课程作为微电子科学与工程专业的专业必修课,是半导体制造工艺的基础。主要介绍半导体制造相关的全部基础技术信息,以及制造厂中的每一道制造工艺,包括硅片氧化,淀积,金属化,光刻,刻蚀,离子注入和化学机械平坦化等内容。 该课程的目的使学生了解产业变化历史中的所有工艺和设备,以及每道具体工艺的技术发展的现状及发展趋势。 (三)先修课程要求,与先修课与后续相关课程之间的逻辑关系和内容衔接; 上本课程之前或者同时应了解半导体物理的相关知识,以便为本课程打下基础;同时本课程又是集成电路分析与设计,以及微电子制造工艺专业实验及实习的基础。 (四)教材与主要参考书。 本课程所使用的教材是《半导体制造技术》,Michael Quirk, Julian Serda著,韩郑生等译,电子工业出版社。 主要参考书: 《半导体器件物理与工艺》施敏苏州大学出版社 《硅集成电路工艺基础》陈力俊复旦大学出版社 《芯片制造-半导体工艺制程实用教程》电子工业出版社 《集成电路制造技术-原理与实践》电子工业出版社 《超大规模集成电路技术基础》电子工业出版社 《半导体器件基础》电子工业出版社 《硅集成电路工艺基础》北京大学出版社 二、课程内容与安排 第一章半导体产业介绍(3学时) 第二章半导体材料特性(3学时)

第三章器件技术(3学时) 第四章硅和硅片制备(5学时) 第五章半导体制造中的化学品(3学时) 第六章硅片制造中的玷污控制(3学时) 第七章测量学和缺陷检查(3学时) 第八章工艺腔内的气体控制(3学时) 第九章集成电路制造工艺概况(5学时) 第十章氧化(6学时) 第十一章淀积(5学时) 第十二章金属化(5学时) 第十三章光刻:气相成底膜到软烘(4学时) 第十四章光刻:对准和曝光(4学时) 第十五章光刻:光刻胶显影和先进的光科技术(4学时) 第十六章刻蚀(5学时) 第十七章离子注入(4学时) 第十八章化学机械平坦化(4学时) (一)教学方法与学时分配 采用多媒体课件与板书相结合的课堂教学方法,基于学生便于理解接受的原 则,对不同讲授内容给予不同方式的侧重。学时分配详见课程内容与安排。 (二)内容及基本要求 主要内容:本章属于引言章节,主要介绍半导体产业的历史,现状及发展趋势。要求掌握和了解集成电路制造以及半导体发展的趋势。 【重点掌握】:硅和硅片制备,氧化,淀积,光刻技术 【掌握】:芯片制备过程中的清洗,金属化,刻蚀,离子注入,化学机械平坦化 【了解】:器件技术,半导体制造中的化学品及玷污 【一般了解】:测量学和缺陷检查,工艺腔内的气体控制 【难点】:光刻过程及离子注入 (重点掌握、掌握、了解、一般了解四个层次可根据教学内容和对学生的具体要求适当减少,但不得少于两个层次) 制定人:陶春兰 审定人: 批准人: 日期:

微电子工艺作业

1.什么是薄膜?例举并描述可接受的薄膜的8个特性。(15分) 答:(1)薄膜:指某一维尺寸远小于另外两维上的尺寸的固体物质。 .(2).好的台阶覆盖能力 ..高的深宽比填隙能力(>3:1) ..厚度均匀(避免针孔、缺陷) ..高纯度和高密度 ..受控的化学剂量 ..结构完整和低应力(导致衬底变形, ..好的粘附性避免分层、开裂致漏电) 2.例举并描述薄膜生长的三个阶段。(10分) 答:(1)晶核形成 分离的小膜层形成于衬底表面,是薄膜进一步生长的基础。 (2)凝聚成束 形成(Si)岛,且岛不断长大 (3)连续成膜 岛束汇合并形成固态的连续的薄膜 淀积的薄膜可以是单晶(如外延层)、多晶(多晶硅栅)和无定形(隔离介质,金属膜)的。 3.什么是多层金属化?它对芯片加工来说为什么是必需的?(10分) 答:..多层金属化:用来连接硅片上高密度器件的金属层和绝缘层 ..关键层:线条宽度被刻蚀为器件特征尺寸的金属层。 ..对于ULSI集成电路而言,特征尺寸的范围在形成栅的多晶硅、栅氧以及距离硅片表面最近的金属层。 介质层 ..层间介质(ILD) ILD-1:隔离晶体管和互连金属层;隔离晶体管和表面杂质。 采用低k介质作为层间介质,以减小时间延迟,增加速度。 4.例举淀积的5种主要技术。(10分) 答:膜淀积技术分类 化学方法 (1)CVD a.APCVD(Atmosphere Pressure Chemical Vapor Deposition) b.LPCVD c.等离子体辅助CVD:HDPCVD(High-Density Plasma CVD)、PECVD(Plasma enhanced CVD) d.VPE和金属有机化学气相淀积 (2)电镀:电化学淀积(ECD)、化学镀层 物理方法: (1)PVD (2)蒸发(含MBE) (3)旋涂( SOG, SOD) 5.描述CVD反应中的8个步骤(15分)。

微电子工艺技术-复习要点答案(完整版)

微电子工艺技术-复习要点答案(完整版)

第四章晶圆制造 1.CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。答:1、溶硅2、引晶3、收颈4、放肩5、等径生长6、收晶。CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长)。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶 缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均 匀性。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后 把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔 融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线 圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。 CZ法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好 的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生 长高电阻率单晶。 FZ法优点:①可重复生长,提纯单晶,单晶纯度较CZ法高。②无需坩埚、石墨托,污染少③高纯度、高电阻率、低氧、低碳④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ法,熔体与晶体界面复杂,很 难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀 性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 答:111和100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。答:在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 答:1、对晶圆A清洗并生成一定厚度的SO2层。2、注入一定的H形成富含H的薄膜。3、晶圆A翻转并和晶圆B 键合,在热反应中晶圆A的H脱离A和B键合。4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】

微电子工艺学模拟试题

微电子工艺学模拟试题 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共10小题,每小题2分,共20分) 1.在微电子器件制造过程中,通过在硅片的有源区引入一些缺陷,以此吸除表面附近的杂质和 缺陷的过程,称为吸杂,包括非本征吸杂和本征吸杂两种方式。() 2.双极型器件要求用(111)晶向单晶,MOS器件和GaAs器件则选用(100)晶向材料。() 3.在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定, 处于线性氧化阶段。() 4.注入离子在非晶靶内的纵向浓度分布可用高斯函数表示,注入离子的剂量和能量越大,峰值 浓度越高。() 5.在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率, 应该增大反应气体流量。() 6.溅射仅是离子对物体表面轰击时可能发生的四种物理过程之一,其中每种物理过程发生的几 率取决于入射离子的能量和剂量。() 7.外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近 浓度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。() 8.曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜 的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。() 9.由于离子的质量较大,散射作用比电子弱,离子束曝光几乎不存在邻近效应,比光学、X射 线或电子束曝光技术具有更高分辨率。() 10.在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所 要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。()

最新微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要 求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散,因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

微电子工艺

微电子工艺引论 硅片、芯片的概念 硅片:制造电子器件的基本半导体材料硅的圆形单晶薄片 芯片:由硅片生产的半导体产品 *什么是微电子工艺技术?微电子工艺技术主要包括哪些技术? 微电子工艺技术:在半导体材料芯片上采用微米级加工工艺制造微小型化电子元器件和微型化电路技术 主要包括:超精细加工技术、薄膜生长和控制技术、高密度组装技术、过程检测和过程控制技术等 集成电路制造涉及的五个大的制造阶段的内容 硅片制备:将硅从沙中提炼并纯化、经过特殊工艺产生适当直径的硅锭、将硅锭切割成用于制造芯片的薄硅片 芯片制造:硅片经过各种清洗、成膜、光刻、刻蚀和掺杂步骤,一整套集成电路永久刻蚀在硅片上 芯片测试/拣选:对单个芯片进行探测和电学测试,挑选出可接受和不可接受的芯片、为有缺陷的芯片做标记、通过测试的芯片将继续进行以后的步骤 装配与封装:对硅片背面进行研磨以减少衬底的厚度、将一片厚的塑料膜贴在硅片背面、在正面沿着划片线用带金刚石尖的锯刃将硅片上的芯片分开、在装配厂,好的芯片被压焊或抽空形成装配包、将芯片密封在塑料或陶瓷壳内 终测:为确保芯片的功能,对每一个被封装的集成电路进行电学和环境特性参数的测试IC工艺前工序、IC工艺后工序、以及IC工艺辅助工序 IC工艺前工序:(1)薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发) 等 (2)掺杂技术:主要包括扩散和离子注入等技术 (3)图形转换技术:主要包括光刻、刻蚀等技术 IC工艺后工序:划片、封装、测试、老化、筛选 IC工艺辅助工序:超净厂房技术 超纯水、高纯气体制备技术 光刻掩膜版制备技术 材料准备技术 微芯片技术发展的主要趋势 提高芯片性能(速度、功耗)、提高芯片可靠性(低失效)、降低芯片成本(减小特征尺寸,增加硅片面积,制造规模) 什么是关键尺寸(CD)? 芯片上的物理尺寸特征称为特征尺寸,特别是硅片上的最小特征尺寸,也称为关键尺寸或CD 半导体材料 本征半导体和非本征半导体的区别是什么? 本征半导体:不含任何杂质的纯净半导体,其纯度在99.999999%(8~10个9) 为何硅被选为最主要的半导体材料? a) 硅的丰裕度——制造成本低 b) 熔点高(1412 OC)——更宽的工艺限度和工作温度范围 c) SiO2的天然生成 GaAs相对Si的优点和缺点是什么?

微电子工艺学试卷(A卷)参考答案

华中科技大学2011—2012学年第二学期 电子科学与技术专业《微电子工艺学》试卷A(开卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共10小题,每小题1分,共10分) 1、单晶生长实际上是液固两相的转化,实现条件是在两相界面附近存在浓度梯度。( × ) 2、如果光刻胶的CMTF 小于实际光刻图形的MTF ,则光刻图形上的最小尺寸线条可能被分辨。反之,不能被分辨。(√ ) 3、热氧化过程中,硅内靠近Si-SiO 2 界面的杂质将在界面两边的硅和二氧化硅中形成再分布。对于k <1、二氧化硅中的慢扩散杂质,再分布之后靠近界面处二氧化硅中的杂质浓度比硅中高,硅表面附近浓度下降。( √ ) 4、研究表明,杂质在半导体晶体中的扩散虽然比较复杂,但可以归纳为几种典型的形式,如填隙式和替位式扩散,其中替位式扩散的速度较快。( × ) 5、离子注入掺杂时,降低离子能量是形成浅结的重要方法。但在低能情况下,沟道效应很明显,可能使结深增加一倍,且离子束稳定性降低。( √ ) 6、氮化硅(Si 3N 4)薄膜介电常数约 6~9,不能作为层间绝缘层,否则将造成较大寄生电容,降低电路速度。但它对杂质扩散有极强掩蔽能力,可以作为器件最终钝化层和机械保护层以及硅选择性氧化的掩模。( √ ) 7、自掺杂效应是气相外延过程中的无意识掺杂效应,采取适当措施可以完全避免,例如降低由衬底蒸发的杂质量以及避免使蒸发出的杂质重新进入外延层。( × ) 8、溅射仅是离子对物体表面轰击时可能发生的四种物理过程之一,其中每种物理过程发生的几率取决于入射离子的剂量。( × ) 9、等离子体刻蚀与溅射刻蚀并无明显界限,化学反应和物理作用都可能发生,具体刻蚀模式取决于系统压力、温度、气流、功率及相关可控参数。( √ ) 10、MOS 器件之间是自隔离的(self-isolated),可大大提高集成度。但当绝缘层上的金属引线经过两个 MOSFET 之间的区域时,会形成寄生场效应晶体管。因此,MOS IC 中的隔离主要是防止寄生的导电沟道,即防止场区寄生场效应晶体管开启。( √ ) 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、硅中常见杂质大多数分凝系数小于1。采用直拉法和区熔法制备硅单晶时,可实现提纯,尤其是多次循环区熔。单就一次提纯的去杂质效果而言,( D )。 A. 两方法区别不大 B. 两方法均不太好 C. 区熔法较好 D. 直拉法较好 2、分布重复曝光系统的优点之一是其掩模图形尺寸与实际图形尺寸的比例可以大于 1:1(称为缩小 图形曝光)。原则上该比例越大分辨率越高,但实际曝光系统通常采用4:1或5:1,这是( C )折中的结果。 A. 分辨率与聚焦深度 B. 分辨率与对准精度 C. 分辨率与曝光时间 D. 分辨率与对比度 3、在给定氧化条件下,抛物线型速率常数与衬底晶向无关,而线性速率常数与晶体取向有关,这是因为( A 、B )。 A. 抛物线型速率常数度量的是氧化剂穿过一层无序的非晶二氧化硅的扩散 B. 线性速率常数与K S 成正比,后者与单位晶面上能与氧化剂反应的有效键密度有关 C. 抛物线型速率常数与K S 成正比,后者与单位晶面上能与氧化剂反应的有效键密度有关 D. 线性速率常数度量的是氧化剂穿过一层无序的非晶二氧化硅的扩散 4、高掺杂情况下的杂质扩散系数与杂质浓度有关,总的扩散系数是所有杂质-空位复合体的扩散系 数之和,称为( B )。 A. 本征扩散系数 B. 非本征扩散系数 C. 表观扩散系数 D. 非表观扩散系数 5、掺杂杂质在晶体内的浓度分布可以采用多种方法进行测量,其中( C )测量得到的是掺杂物的化学浓度,而不仅仅是电学浓度 / 载流子浓度。 A. 电容-电压(C-V)法 B. 扩展电阻法 C. 二次离子质谱(SIMS)法 D. 扫描隧道显微(STM)法 6、CMOS 电路中的完整器件通常并不是做在体硅上,而是做在一层很薄(2~4 m)的轻掺杂外延层上,目的是( A 、B 、D )。 A. 避免闩锁效应 B. 提供控制杂质浓度分布的方法 C. 形成SOI 隔离结构 D. 使器件具有较好介质完整性和较小漏电流 7、在大规模集成电路制造中,湿法腐蚀已被干法刻蚀所替代,原因在于( B 、C 、D )。 A. 干法刻蚀的选择性好 B. 干法腐蚀能达到较高分辨率 C. 干法刻蚀的各向异性好 D. 湿法腐蚀需大量腐蚀性试剂,对人体和环境有害 8、平坦化工艺是现代集成电路制造中的重要工艺步骤,采用( A )可实现介质和金属表面的全局平坦化。 第1页 共3页 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四 、试卷印刷不清楚。可举手向监考教师询问。 所在年级、班级 注意

微电子工艺原理和技术复习题

《微电子工艺原理和技术》复习题 一、填空题 1.半导体集成电路主要的衬底材料有单元晶体材料?Si?、?Ge?和化合物晶体材料?GaAs?、?InP?;硅 COMS集成电路衬底单晶的晶向常选(100);TTL集成电路衬底材料的晶向常选(111);常用的硅集成电路介电薄膜是?SiO2?、?Si3N4;常用的IC互连线金属材料是?Al??、?Cu?。 2.画出P型(100)、(111)和N型(100)、(111)单晶抛光硅片的外形判别示意图。 3.硅微电子器件常用硅片的三个晶向是:(100)?、(111)、(110)画出它们的晶向图。 4.??热扩散??和?离子注入?是半导体器件的最常用掺杂方法。?P?、??As???是Si常用的施主杂质; ???B????是Si常用的受主杂质;?Zn???是GaAs常用的P型掺杂剂;???Si????是GaAs常用的N型掺杂剂。 5.摩尔定律的主要内容是:?晶体管特征尺寸每三年减小到约70%,30年内有效,也可表示为,集成电 路的特征尺寸每三年缩小30%;集成度每三年翻二翻;集成电路工艺每三年升级一代;逻辑电路的速度每三年提高30%。 6. 集成电路用单晶硅的主要制备方法是?提拉法?和?区熔法???。 7.半导体材料的缺陷主要有点缺陷、位错、层错、孪晶。 8. 半导体晶体的晶胞具有??立方?????对称性, Si、Ge 、GaAs 晶体为?金刚石??结构。用??密勒指数??? h,k,l 表示晶胞晶面的方向。 9.电子和空穴是半导体的主要载流子,N型半导体中?电子?浓度高于?空穴???浓度,而P型半导 体中?空穴??浓度高于?电子浓度,?本证?半导体中的两种载流子浓度相等。

相关文档
最新文档