实验6 简易秒表设计

实验6 简易秒表设计
实验6 简易秒表设计

图1 74160硬件验证电路。

其中ENT接高电平,ENP接一个按键(使能按键ENA)。当ENA=1,且CLR=1时,进行计数,当计数值为9时,RCO为1(RCO经非门后接输出进位COUT)

图2 同步6进制计数器

其中ENT接高电平,ENP接一个按键(使能按键ENA),当ENA=1,且CLR=1时,进行计数,当计数值为满(计数值为5后为0的前半周期)时,RCO(即电路中的进位COUT为1);当ENA=0时,计数保持;当CLR=0时,异步清零;

连接图2电路,给出仿真图(附于上交的实验报告),并选择合适的模式及资源硬件验证其功能。

图5 秒表电路的顶层元件

根据图5设计秒表电路,并综合编译后。选择合适的模式及资源硬件验

单片机简易秒表课程设计..

单片机课程设计 题目:简易秒表 系部:机电工程系 专业:机电一体化 班级: 0 9 4 班 姓名:张三 学号:2009044056 指导教师:杨富强

目录 一摘要 (1) 二绪论 (2) 2.1单片机的发展 (2) 2.2 MCS-51系列单片机介绍 (4) 三设计任务及要求 (5) 四工作原理 (5) 4.1 七段数码管概述 (5) 4.2 MCS--51的引脚及相关功能 (7) 五简易秒表电路图 (8) 六流程图 (9) 七源程序 (10) 八系统硬件设计 (11) 九总结 (12) 十课程设计心得 (13) 参考文献 (14)

一摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并利用自行制作的单片机最小系统,完成一个简单应用(简易秒表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。

二绪论 当前社会信息化建设在各地蓬勃发展,作为信息发布的终端显示设备,LE D显示屏己经广泛应用于工作和生活的各个方面,主要用于显示文字、图像、动画等。L E D显示屏的应用涉及社会的许多领域,主要包括:金融证券、体育场馆、道路交通、邮政电信、商场购物中心等服务领域的业务宣传及信息显示。L ED 是发光二极管的简称(L ig ht Em it ti ng D io de)。由于它具有亮度高、响应速度快、低电压、功耗小、耐震动、寿命长等优点,使其成为室内外信息显示终端的主要发光器件。LE D显示屏是20世纪90年代出现的新型平板显示器件,由于其亮度高、画面清晰、色彩鲜艳,使它在公众多媒体显示领域一枝独秀,因此市场空间巨大。LE D显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。第二阶段是1995年到1999年,出现了64级、256级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LE D显示屏提升到了一个新的台阶。LE D显示屏控制专用大规模集成电路芯片也在此时由国内企业开发出来并得以应用。第三阶段从1999年开始,红、纯绿、纯蓝LE D大量涌入中国,同时国内企业进行了深入的研发工作,使用红、绿、蓝三原色LE D生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 2.1单片机的发展 单片机也被称为微控制器(M ic ro co nt r ol le r),是因为它最早被用在工业控制领域。单片机由芯片内仅有CP U的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CP U集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。IN TE L的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。单片机又称单片微控制器,它不是完成某一个逻辑功能的

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

简易数字秒表课程设计

《电子设计自动化》 课程设计报告 学校: 专业: 班级: 姓名: 指导教师: 二00九年12 月16 日

目录 1.课程名称 (2) 2.设计任务和要求 (2) 2.1设计任务 (2) 2.2设计要求 (2) 3.方法选择与论证 (2) 3.1方案选择 (2) 3.2方案论证 (2) 4.方案的原理图 (3) 4.1方案原理图 (4) 4.2总体电路图,布线图以及说明 (5) 4.3单元电路设计及说明 (5) 5.电路调试 (8) 6.收获体会、存在问题和进一步的改进意见 (9)

简易数字秒表 1.课程名称:《简易数字秒表》 2.设计任务和要求 2.1设计任务: 数字式秒表实现简单的计时与显示,按下启动键开始清零计时,按下停止键,计时停止。具有“ 分”(00—59)“秒”( 00—59)数字显示,分辨率为1 秒。计时范围从 00分 00 秒到 59 分 59 秒。 2.2设计要求: 阅读相关科技文献,上网搜索相关资料,设计多种方案设计,予以论证,最终选择最佳方案。 1、将提供的1024hz的方波源转换成1hz 的方波源。 2、秒表的范围为0-59分59秒。 3、最后用数码管显示。 3. 方法选择与论证 3.1.方案选择 在设计之初,我们有两个方案,都实现了59分59秒的结果,不过经过小组成员的讨论,一致选定采用方案二,该方案是在Proteus软件环境下实现的秒表计时功能,就制作上较方案一还是很不错的。 3.2. 方案论证 我们主要采用74LS90芯片和555计时器,74LS90 是二 -- 五十进制计数器,根据进制转换,很好的实现了六进制的功能,参考了各相关书籍及网上的一些资料,我们做好了现在的电路图,经过仿真,我们达到了预期的结果。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

简易秒表设计实验报告

实 验 报 告 系别 信工系 专业 班级 姓名 学号 简易秒表设计 实验目的: 1、 熟悉Keil C51软件的使用方法及proteus 仿真软件的使用; 2、 综合运用所学的理论知识(数码管、按键),通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、 通过本次试验,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。 设计要求: 制作简易秒表,用三个按键分别实现秒表的启动、停止与复位,利用两位共阴级的数码管显示时间。 设计思路: 硬件设计:数码管部分采用2位共阴极的数码管,在P0口接上拉电阻,公共端低电平扫描。按键电路部分,将按键一侧与单片机任一I/O 口相连。 软件设计:模块化思想,使用定时器T0的工作方式1,编写显示子程序,延时子程序,初始化程序,主程序设计时注意按键消抖。 原理图: XTAL218XTAL119ALE 30EA 31PSEN 29RST 9P0.0/AD0 39P0.1/AD1 38P0.2/AD2 37P0.3/AD3 36P0.4/AD4 35P0.5/AD5 34P0.6/AD6 33P0.7/AD7 32P1.01P1.12P1.23P1.34P1.45P1.56P1.6 7P1.7 8P3.0/RXD 10P3.1/TXD 11P3.2/INT0 12P3.3/INT1 13P3.4/T0 14P3.7/RD 17P3.6/WR 16P3.5/T1 15P2.7/A15 28P2.0/A8 21P2.1/A9 22P2.2/A10 23P2.3/A11 24P2.4/A12 25P2.5/A13 26P2.6/A14 27U1AT89C51C1 1nF C21nF R110k C31uF 234567891 RP1 RESPACK-8 源代码: #include<>

数字逻辑实验报告(数字时钟设计)

数字逻辑实验报告

实验三、综合实验电路 一、实验目的: 通过一个综合性实验项目的设计与实现,进一步加深理论教学与实验软硬件平台的实践训练,为设计性实验做好充分准备。 二、实验原理: 根据要求的简单设计性的电路设计实验,应用基本器件与MSI按照电路设计步骤搭建出初级电路;设计型、综合型的较复杂实验电路 三、实验设备与器件: 主机与实验箱 四、实验内容: (1)实验任务:根据所学习的器件,按照电路开发步骤搭建一个时钟, 要求实现的基本功能有计时功能、校对时间功能、整点报时、秒表等功能。 (2)实验任务分析:完成该数字时钟,采用同步时序电路,对于计时 的的功能,由于时间的秒分时的进位分别是60、60、24,所以可以应用74LS163计数器分别设计2个模60计数器以及一个模24计数器,那么需要有7个秒输出,7个分输出,6个小时的输出;对于校对时间的功能,由74LS163的特性可知,当该器件处于工作状态时,每来一个CLK脉冲,计数值加1,所以可以手动控制给CLK脉冲,来进行时间的校对;对于整点报时功能,可以采用一个比较电路,当时间的分秒数值全部为零时,那么此时可以接通报时装置,可以在电路中设置报时的的时间;对于秒表功能,有两种方案,可以单独重新设计一个秒表装置,采用模100计数器以及两个模60计数器,可以进行优化,使用原先的两个模60计数器,这样可以简化电路,是电路简洁。 (3)实验设计流程:

(4)输入输出表: (5)各个功能模块的实现: A、计时功能模块的实现(电路图及说明)秒表部分及说明

说明:该部分是实现功能正常计时中的秒部分的计时工作。如图所示,图中采用两个74LS163来做一个模60计时器,计数的起止范围是0~59,(第一个74LS163采用模10计数,起止为0~9,第二个74LS163的计数起止范围是0~5),两个器件采用级联方式,用预置位方法实现跳转;该部分有7个秒输出,接到BCD译码显示器。 注解:第一个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平VCC 第二个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平第一个163的预置位段 分钟部分以及说明:

89C51单片机课程设计之秒表设计实验报告

单片机课程设计报告 单 片 机 秒 表 系 统 课 程 设 计 班级: 课程名称:秒表设计 成员: 实训地点:北校机房 实训时间:6月4日至6月15日

目录 1课程设计的目的和任务 1.1 单片机秒表课程设计的概述 1.2课程设计思路及描述 1.3 课程设计任务和要求 2硬件与软件的设计流程 2.1系统硬件方案设计 2.2所需元器件 3 程序编写流程及课程设计效果 3.1源程序及注释 3.2原理图分析 3.3课程设计效果 4 心得体会

1. 课程设计的目的和任务 1.1单片机秒表课程设计的概述 一、课程设计题目 秒表系统设计——用STC89C51设计一个4位LED数码显示“秒表”,显示时间为000.0~9分59.9秒,每10毫秒自动加一,每1000毫秒自动加一秒。 二、增加功能 增加一个“复位”按键(即清零),一个“暂停”和“开始”按键。 三、课程设计的难点 单片机电子秒表需要解决几个主要问题,一是有关单片机定时器的使用;二是如何实现LED的动态扫描显示;三是如何对键盘输入进行编程;四是如何进行安装调试。 四、课程设计内容提要 本课程利用单片机的定时器/计数器定时和记数的原理,结合集成电路芯片8051、LED数码管以及课程箱上的按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。其中本课程设计有三个开关按键:其中key1按键按下去时开始计时,即秒表开始键,key2按键按下去时数码管清零,复位为“00.00”. key3按键按下去时数码管暂停。 五、课程设计的意义 1)通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的 应用进一步的了解。 2)掌握定时器、外部中断的设置和编程原理。 3)通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 4)该课程通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统, 拥有正确的计时、暂停、清零,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义 1.2课程设计思路及描述

数字式秒表课程设计

南通大学 《电子技术》课程设计报告 题目数字式秒表 学院(部计算机科学与技术学院 专业计算机科学与技术 学生姓名王骏 6 月2 7 日至7 月1 日共1 周 指导教师(签字)

一.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数 系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示 电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分,它和分频器一起用来 产生0.01秒的脉冲。 二.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 三.方案论证与选择 1. 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。题目要 求最大记数值为99分59.99秒,则需要一个8段数码管作为秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶振荡器精度很高,一般都需要多级分频。 秒表核心部分——计数器,此次选择74LS160计数器。它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 计数脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲。如果精度要求高,也可采用石英振荡器。 在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译码器。如果选择7447,则用来驱动共阳极数码管;如果选择7448,则用来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也可以借鉴简易数字频率计中的四位数码 管来显示后四位,再用两个数码管显示分钟的两位。本次设计中选择前一种方法。(一)控制电路

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

简易秒表设计唐巧玲

本科学生设计性实验报告 学号:姓名: 学院:**学院专业、班级: 实验课程名称:简易秒表设计 教师:** 老师 开课学期:2013 至2014 学年第二学期填报时间:2014 年 6 月18 日

一、摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并利用自行制作的单片机是最小系统,完成一个简单应用(简易秒表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。 关键字:AT89C51;数码管;设计制作; 二、引言 当前社会信息化建设在各地蓬勃发展,作为信息发布的终端显示设备,LED 显示屏已经广泛应用于工作和生活的各个方面,主要用于显示文字、图像、动画等。LED显示屏的应用涉及社会的许多领域,主要包括:金融证券、体育场馆、

道路交通、邮政电信、商场购物中心等服务领域的业务宣传及信息显示。LED 是发光二极管的简称(Light Emitting Diode)。由于它具有亮度高、响应速度快、低电压、功耗小、耐震动、寿命长等优点,使其成为室内外信息显示终端的主要发光器件,由于亮度高、画面清晰、色彩鲜艳,使它在公众多媒体显示领域一枝独秀,因此市场空间巨大。LED显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。第二阶段是1995年到1999年,出现了64级、256级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LED显示屏提升到了一个新的台阶。LED显示屏控制专用大规模集成电路芯片也在此时由国内企业进行了深入的研发工作,使用红、绿、蓝三原色LED生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 三、设计思想 该设计采用89C51单片机制作,P1口控制显示十位和各位,采用共阴极的方法,编写程序通过89C51的并口给单个七段数码管对应引脚提供相应电平,就可以显示内容了,需要注意的是因各段的发光二极管额定电流很小,所以需要限流保护数码管。 四、设计内容 根据生活中秒表的设计结构,可以得到如下整体的外围电路:

基于单片机的简易计时器设计

南华大学电气工程学院课程设计 摘要:单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部储存资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力强,系统也更加稳定,使它更适合工业控制领域,具有更广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得了宝贵时间。本设计通过STC89C51单片机以及单片机最小系统和三极管驱动以及外围的按键和数码管显示等部件,设计一个基于单片机的简易计时器。设计通过四位一体共阳极数码管显示,并能通过按键对秒进行设置。 关键词:STC89C51单片机,驱动,四位一体数码管

南华大学电气工程学院课程设计 Abstract:SCM be booming since since the 70 s, MCU functions are increasingly perfect at present: single chip microcomputer integrated more and more resources, internal storage resource increasingly rich, users do not need to expand resources can complete the project development, is not only the development of simple, small beautiful products, at the same time, strong anti-jamming capability, system is more stable, make it more suitable for industrial control field, has a broad market prospect; Provide online programming ability, speeded up the process of product development, product for the enterprise to win the precious time. This design and triode driven by STC89C51 microcontroller and the single chip microcomputer minimum system and peripheral keys and digital tube display components, design a simple timer based on single chip microcomputer. Design through the four digital tube display, a total of anode, and can through the button to set the seconds. Keywords: STC89C51 microcontroller, drive, Four digital tube

51单片机电子时钟课程设计实验报告

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号:2012197213 2012118029 班级:自动化1211 指导老师:阮海容

目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位(例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。 7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。 11)完成课程设计报告。 基本要求 1)实现最基本要求的1~10部分。 2)键盘输入可以控制电子时钟的走时/调试。 3)设计键盘输入电路和程序并调试。 4)掌握键盘和显示配合使用的方法和技巧。 提高发挥部分

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

单片机秒表课程设计报告

一:课程设计题目 秒表/时钟计时器 二:课程设计任务与要求: 利用89C51单片机设计秒表/时钟计时器,通过LED显示器显示秒十位和个位,在设计过程中用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,重新开始秒计数。 三:设计过程: 1.设计原理:此次课程设计题目是秒表/时钟计时器,由课程设计的要求和任务,我采用的C语言编程,设计秒表要求一秒定时,采用了定时器和FOR循环来定时,其中一个软件一个硬件,会在方案论证中分析在1秒时采用的是硬件定时,即用单片机内部的定时器T0。先将时钟初始化,赋入初值50ms定时,循环20次来进行1秒定时。然后由定义的变量second来进行加一运算,然后将其值通过P1,P2口在数码管上进行显示。其中数码管的显示时,我在程序中首先定义了一个关于数码管显示的字形码定义,以便在显示时调用即可。 (1)方案论证: 方案1:在方案1中,我们所选用的是软件定时,即用for循环来定时1秒进行显示的变化。 方案2:在方案2中,采用的是硬件定时,即用单片机内部的定时器T0。先将时钟初始化,赋入初值50ms定时,循环20次来进行1秒定时。 方案比较:我们从两方面进行两种方案的比较,第一,由于此次课程设计要求是秒表,则在定时时要求比较精确,所以采用硬件的定时器定时时比较准确的。第二,由于秒表的定时程序是很小的,在利用软件定时占用的CPU并不是很多,不能显现出来,但真正大程序时会很占用资源的,所以在用定时中断过程中是非常节省资源的。综合上述两种比较,我们选用了第二种方案。 (2)创新点: a.在课程要求的基础上,我们做成的电路板上,用复位键来控制秒表计时的重新开始,即清零。 b.在以上设计的基础上,我们又重新设计了一个程序,基本原理没有变,只是将

数字电路实验电子秒表设计

* * 交通大学 信息科学与工程学院 综合性实验报告 姓名:*** 学号631206050*** 班级:2012 级软件2班 实验项目名称:电子秒表 实验项目性质:设计性实验 实验所属课程:数字电路技术基础 实验室(中心):电子电工实验室 指导教帅: *** _________________________ 实验完成时间:2013 年J2 月20 日

一、实验目的 1. 熟悉计数器的工作原理及特点; 2. 了解和掌握四位同步可预置二进制计数器 74LS161的使用方法; 3. 学习设计N进制加法计数器的方法; 4. 了解电子秒表的设计原理; 5. 掌握电子秒表的设计方法; 二、实验内容及要求 1. 自己设计的同学可以在实验中验证; 2. 按老师要求做的最好回去用EW殷计60分钟的电子秒表; 3. 完成实验报告,附上电路图及仿真结果; 4. 总结数字电路设计的一般方法,掌握常见的数字电路设计的软件。 三、实验原理 本实验采用4枚74LS161计数器及少量的门电路组成。当原有计数器从全0状态开始计数并接收了n个脉冲后,电路进入SN状态;如果将SN状态译码产生一个置零信号加入到计数器的置零输入端,则计数器立刻返回S0状态,因此可以得到相应进制 的计数器。由丁电路一旦进入SN状态后立刻乂被置成S0状态,所以SN状态只在极短的时间

出现,在稳定的状态循环中不包括SN状态。 本实验采用的4枚74LS161计数器中其中两枚采用十进制计数,另外两枚采用六进制计数用丁实现60分钟秒表的设计。 五、实验过程及原始记录(含电路图) 本实验采用4枚74LS161计数器进行实验设计,用丁构成秒表;设计过程中其中两枚需设计成十进制计数器;另外两枚需设计成6进制计数器。 六进制计数器:当Q3Q2Q1Q0=0110时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=0101时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 十进制计数器:当Q3Q2Q1Q0=1010时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=1001时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 其电路图实现如下:

数字秒表课程设计报告

《电子技术》课程设计 题目:数字秒表设计 专业:电气工程系 班级:本电气自动化126 姓名:黎梓浩学号:11 指导老师:钟立华 小组成员:曾志辉麦照文黎梓浩成绩:

目录 摘要,关键词,引言 3 一.设计目的 3 二.设计总体框图4三.设计原理及说明 4 四.单元电路设计 5 五.器件选择9 六.设计电路图9 七.安装与调试9 八.设计心得与体会10 九.参考文献11十.附录(实物图、原理图)12

摘要:本文的数字秒表设计是利用数字电路,实现时、分、秒计时功能的装置。具有较长的使用寿命,因此得到了广泛的应用。 关键词:计时精确计数器显示器74LS160 引言:在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活中已逐渐崭露头角,大多数电子产品多是有计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 一.设计目的 1.了解数字秒表的主体电路的组成及工作原理; 2.熟悉集成电路及有关电子原件的使用; 3.学习和掌握数字电路中的时钟发生器及计数、译码显示等单元电路的综合应 用; 4.掌握电子电路一般设计方法和设计流程; 5.掌握protel等绘图软件的使用。

二.设计总体框图 三.设计原理及说明 数字秒表具有操作方便、使用简单、计数精准等使用优点,在日常生活中的到了广泛认可和使用。 数字秒表的设计属于中规模集成芯片设计。本设计中CP脉冲采用555多谐振荡方波脉冲,频率为100Hz。如果需要更精确的计数条件,可以选择石英晶振输入。主计时电路采用3片74LS160构成的同步清零计数器,毫秒计数级为100进制,即毫秒计数100次向上进1,依此类推,秒计数为60进制。输出为3片7448芯片匹配3枚共阴极数码管。其中最小计时精度为0.01S(即10mS),能满足一般的计时场合使用。最大计时时长为59秒9,超过1分钟重新从0开始计数。暂停功能采用阻断CP脉冲输入设置,具有较高的优先级。清零功能用与非门并联计数器同步清零(清零时控制脉冲为高,计数器内部清零脉冲为无效的高状态,计数器被强制清零),由双向开关控制,在任意时间可以使用(不管暂停与否)。

单片机秒表设计课程设计报告

单片机课程设计报告 一、实验题目 秒表系统设计——用两个数码管来显示秒表数据,一个显示秒,另一个显示十分之一秒。 二、系统总体功能 用两个数码管来显示秒表数据,一个显示秒,另一个 显示十分之一秒。有一个按键来启动秒表的开始和结 束。增加一个清零按钮,计时结束后可以清零。 三、实验目的 1、利用单片机定时器/计数器中断设计秒表,从而实现秒、十分之一秒的计时。 2、综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、通过本次课程设计加深对单片机掌握定时器、外部中断的设置和编程原理的全面认识复习和掌握,对单片机实际的应用作进一步的了解。 4、通过本次试验,增强自己的动手能力。认识单片机在日

常生活中的应用的广泛性,实用性。 四、系统设计方案 本实验利用单片机的定时器/计数器定时和记数的原理,通过采用proteus仿真软件来模拟实现。模拟利用 AT89C51单片机、LED数码管以及控件来控制秒表的计数以及计数的开启/暂停/继续与复位! 其中有两个数码管用来显示数据,一个数码管显示秒(两位),另一个数码管显示十分之一秒,十分之一秒的数码管计数从0-9,满十进一后显示秒的数码管的数字加一,并且十分之一秒显示清零重新从零计数。计秒数码管采用两位的数码管,当计数超过范围是所有数码管全部清零重新计数。 五、试验设计所需硬件(模拟硬件) Atmel89C51单片机芯片一个、LED数码显示管三个,低压电源、开关(按钮)两个、电阻、电容及导线若干。 由于条件限制本实验采用软件模拟硬件系统,采用proteus软件进行模拟设计及调试工作。

单片机课程设计---简易数字秒表的设计与仿真

单片机课程设计报告 题目:简易数字秒表的设计与仿真 教学单位:机电工程系 专业:机械设计制造及其自动化班级: 学号: 姓名:

1.项目设计目的与要求。 (1) 熟悉单片机定时/计数器电路和中断的功能与编程。 (2) 熟悉8051与8255与LED的接口技术、LED动态显示的控制过程。 (3) 通过调试简易秒表整体程序,学会如何编制含LED动态显示、键盘扫描和定时器中断等多种功能的综合程序,体会大型程序的编制和调试技巧。 2.实验设备及所需元器件 (1)DJ-598KC 单片机开发系统 1台 (2)仿真器(EASYPROBE) 1只 (3)PC机1台 3.项目内容及实验方法。 (1)内容与要求: 利用DJ—598KC单片机仿真实验系统进行模拟,用六位LED数码管显示实时计时值,其中时、分、秒、十分秒值从左到右各用1至两位LED数码显示,显示值为分、秒值0~59,时和十分秒值为0~9,使用KEY0、KEY1、KEY2等3键分别实现启动、停止、清零等功能。 (2)方法: 用单片机定时器0中断方式,实现100ms定时作为实时时基信号,编程实现十分秒、秒、分计数。用动态显示方式实现秒表计时显示,采用中断或查询方式取得KEY0、KEY1、KEY2的按键输入,实现秒表的启动、停止、清零等功能。 4.项目电路设计及原理介绍 (1)实验电路及接线图

(2)实验步骤:(实验线路原理及接线) 将KEY0、KEY1、KEY2按键用实验系统上的K1~K3键代起,分别和P1.0~P1.2连接,实现秒表的启动、停止、清零等功能。 电路中,8255 B口的8个I/O引脚PB0~PB7通过反相器驱动电路连接六位LED的阳极a~g,及dp;8255的A口的六个I/O引脚PA0~PB5通过同相驱动,对6位LED的阴极进行分时选通;这样在任一时刻,可以只有一位LED是点亮的。只要扫描的频率足够高(一般大于25Hz),由于人眼的视觉暂留特性,直观上感觉却是连续点亮的,这就是我们常说的动态扫描电路。 LED实现动态显示时,动态扫描的频率有一定的要求。频率太低,LED将出现闪烁现象;如频率太高,由于每个LED点亮的时间太短,LED的亮度太低,肉眼无法看清。所以一般均取几个ms 左右为宜,这就要求在编写程序时,选通某一位LED使其点亮并保持一定的时间,程序上常采用的是调用延时子程序。在C51指令中,延时子程序是相当简单的,并且延时时间也很容易更改,可参见程序清单中的DELAY延时子程序。 5.控制程序流程图 (1)主程序框图: LED显缓单元清零 定时∕计数器0、中断初始化 调用LED动态显示子程 启动键按下否停止键按下否复位键按下否开定时器0中断启动定时开始 关定时器0中断停止定时 NO NO NO YES YES YES

相关文档
最新文档