心率测试仪课程设计

心率测试仪课程设计
心率测试仪课程设计

心率是指人体心脏每分钟搏动的次数,它是反映心脏是否正常工作的一个重要参数。人类工作在高科技、高效率的环境中,其身体健康状况也显得日益重要并备受关注,而数显式心率测试仪就为社会的医疗保健提供了方便。过去大多数医院测量心率主要采用手表计时切脉的方法。由于受病人情绪变化以及测量人员主观因素影响,测量结果存在较大误差,而且费事费力。随着电子技术发展,出现了心率仪,而且愈发智能。但是由于价格昂贵等因素不适合大面积推广。另一方面,人们对健康的追求越来越高,体育赛事要求越来越严格等,需要一种便携、灵活、准确的心率测试仪。

引言 (1)

摘要 (3)

1.总体设计方案 (4)

1.1课题分析 (4)

1.2总体方案 (4)

2.模拟仿真软件介绍 (5)

3.电路单元设计与仿真验证 (6)

3.1传感器单元 (6)

3.2 放大电路单元 (6)

3.3滤波电路单元 (7)

3.4整形电路单元 (9)

3.5定时电路单元 (10)

3.6计数电路 (11)

3.7锁存、比较、显示单元 (13)

3.8报警单元 (16)

4.总体电路绘制及仿真 (17)

4.1绘制的总体电路 (17)

4.2电路工作原理 (17)

4.3仿真结果 (17)

4.4电子元件清单 (18)

5.总结 (19)

附录 (20)

附录1 (20)

附录2 (21)

参考文献 (22)

摘要

本文主要设计一种便携式心率测试仪,该心率仪采用常见的电子元件实现,成本较低,能够实时采集并测量人体的平均心率,发现非正常心率信号并能及时报警。由于传感器信号十分微弱,其幅度一般在毫伏的数量级范围,且夹杂着各种噪声和干扰,因此要求前置级放大电路具有高增益、高共模抑制比等技术指标。实验结果表明,系统设计方案合理,实现了微小信号放大、显示及报警功能。具有测量灵敏度高、实时性好、性价比高等优点。

关键词:心率测试,放大,计数,锁存,报警,显示

1.总体设计方案

1.1课题分析

正常情况下,人的心率为每分钟60~150次。我们要准确测量人的心率,应该使用传感器将心跳转化为电量进行测量。根据设计要求,我们了解到,测心率跳动的传感器输出信号为0.2V,干扰信号幅值为0.01V。且干扰信号的频率f ≥1KHZ。因此我们需要以下几个基本单元:

1.放大电路将传感器输出信号放大

2.低通滤波器将干扰信号滤除。

3.定时电路。要测定一分钟内的心跳次数,必须要有准确的定时电路。为了便于实现,减少电路单元,本次设计我们设定时间隔60s。

4.计数单元。计数单元是记录心跳次数所必需的。要求此计数单元具有清零重新计数的功能。

5.显示单元。正确显示心率。为了便于读数,应选择十进制显示器。

6.比较报警单元。根据设计要求,当心率N >150或者N<60时报警。因此要有比较单元,以便与参考心率对比。当心率不正常时,由报警器报警。

1.2总体方案

经过分析,方案如下:传感器输出的信号放大滤波后,经过整形电路得到方波。然后接入计数器计数,一定时间后显示心率,并判断是否报警。

本次设计的大致框图如图1所示。

图1 方案原理框图

这些单元是设计心率测试仪所必需的。在一定的控制信号下,这些单元协调完成心率测量。

2.模拟仿真软件介绍

本次课程设计,为了保证顺利实现整体功能,要对所设计的各个单元进行实时模拟仿真,以检测是否达到预期要求。我们选择用Proteus软件。

Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。

Proteus所自带的仿真功能(Prospice)具有以下特点:

1. Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真。

2. 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件。

3. 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入。

4..丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等。

5.生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动。

6.高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析。

课程设计是学生走向就业的重要实践环节。由于Proteus提供了实验室无法相比的大量的元器件库,提供了修改电路设计的灵活性、提供了实验室在数量、质量上难以相比的虚拟仪器、仪表,因而也提供了培养学生实践精神、创造精神的平台,随着科技的发展,“计算机仿真技术”已成为许多设计部门重要的前期设计手段。它具有设计灵活,结果、过程的统一的特点。可使设计时间大为缩短、耗资大为减少,也可降低工程制造的风险。

3.电路单元设计与仿真验证

3.1传感器单元

心率传感器的作用是将心跳转换为相应的电冲信号。心率传感器是心率监测系统中的重要组成部分,其性能好坏直接影响后置电路的处理和结果的显示。目前典型的心率传感主要有三类:光电类、压阻类和压电类。在次三类中目前采用最多的是压电式传感器。工作原理是利用敏感元件直接将压力变为电信号。本次课程设计用正弦信号模拟传感器输出。故传感器部分不再赘述。

3.2 放大电路单元

本实验所采用的放大电路单元为同相比例运算放大器,电路图如图1所示。

图2 放大电路

所用的运放为OP27AP。OP27AP是一种超低噪声,高精度运算放大器,具有漂移小、增益高的特点。适合此次放大电路。其引脚图如图3所示:

图3 OP27AP引脚图

其中:

1--调零2--负输入3--正输入4--电源负5--NC 6--输出7--电源正8--调零

电路图中的OP27AP为简化的电路符号。

此放大电路属于电压串联负反馈,为了使集成运放反向输入端与通向输入端的对地电

阻一致,R1、R2、R3的阻值应满足以下关系:

R1=R2//R3

输入输出关系为

Av=V o/Vi=1+R3/R2

由于输入最大0.2V左右,为了便于信号的输出和处理初步设定电路总放大倍数为25倍,故设R1=0.96kΩ,R2=1KΩ,R3=24KΩ。理论上,当输入0.2V的电压时,输出为5V。

通过PROTEUS自带的Prospice仿真器仿得如下图所示曲线:

图中输入电压幅度0.1V,偏置0.1V,总体落在0~0.2V之间,用来模拟传感器测得的心率信号。可以看到,输出电压幅度2.5V,偏置2.5V,相对输入电压,放大了25倍。达到了预期要求。

图4放大电路波形曲线

3.3滤波电路单元

由于有1KHZ的工频干扰和传感器在测量时有震动带来的干扰, 必须对所取信号进行滤波处理。考虑到心率正常频率为1HZ—2.5HZ,滤波1K 赫兹工频干扰可以采用截止频率10HZ左右低通滤波器滤除。因为压控电压源型二阶低通滤波器电路结构简单,调整方便,且电路多采用运算放大器做有源器件,几乎没有负载效应,故选择压控电压源型滤波电路。电路如图5所示。

电路中用的运放为UA741。uA741是通用高增益运算通用放大器,最常用的运放之一.应用非常广泛。特点是宽输入电压、低功耗。

其引脚图同OP27AP。

3

2

6

7

415

U2

UA741

R4

1M

C1

50n

C2

10n

R5

10K

R6

1K

+15V

-15V

R3

1M

图5 滤波电路图

截至频率的计算:

为了便于计算,取R4、R5为1M,C1为50nF,C2为10nF。由上式计算可得f0= 7.12HZ.此为上限截至频率。

经过Prospice仿真后,可得幅频特性如下图所示。图中上限截止频率为7.3HZ,与计算相接近,符合要求。达到了滤除高频干扰信号的目的。

图6 电路增益与频率关系曲线

f0=W0/2π

W02=(f0×2π)2=1/R4*R5*C1*C2

3.4整形电路单元

本电路的功能是将模拟电压信号转化为电平信号(方波)输出到计数器电路。采用正相滞回电压比较器完成电路整形,本单元电路图如图7所示。

R9

1000

+15V

-15V

R12(1)

R12

200

R11

100

R10

1000

R11(1)

DWY(K)

R11(1)

DWY

1N4733A

3

2

6

7

4

UZX

OP27AP

图7整形电路图

电路中运算放大器为OP27AP 。DWY 为稳压管。型号1N4733A 。

1N4733是精密稳压二极管。最大耗散功率Pzm=1W,稳定电压Vz=5.1伏,最大工作电流Izm=179毫安。

在本电路中,当集成运放同相输入端与反相输入端的参考电压相等,即u +=u -时,输出端的状态将发生跳变。其中u -由参考电压VR 及输出电压V (out )二者共同决定,而V (out )有两种可能的状态:+Uz (+5.1V )或0。由此可见,是输出电压由+Uz 跳变为0。以及由0跳变为+Uz 所需的输入电压值是不同的。也就是说,这种比较器有两个不同的门限电平,故传输特性呈滞回形状。

由图6中可以看出,经过整形电路后,V (out )的低电压值不等于0V ,分析原因是由于稳压管DWY 的阈值电压不为零。当输出端为负值时,稳压管导通,V out=0-V th =-0.4V 。所以它两端的电压值并不严格等于D1的稳压电压,而是有一定的偏移。

图8 整形后波形

3.5定时电路单元

定时信号是标准测量的基础,这个信号可采用多谐振荡器产生,在简单时基电路中,可以用555定时电路。

555定时器功能表如表1所示:

输 入 输 出 TH TR

d R

V O Dis × <32V CC

<32V CC >3

2V CC × <31V CC

>31V CC

×

L H H H

L H 不变 L

导通 截止 不变 导通

表1 555定时器功能表

定时电路有两种方案:

方案一:直接用555定时器产生一个60s 的信号.此种方案555定时器定时太长,容易产生较大误差,且需要考虑占空比问题。而且,由于计数部分清零信号由此提供,且为高电平有效。定时时间过长,会使得计数器清零信号一直有效,影响正常计数。故不予采取。

方案二:用555定时器产生一个较窄的定时脉冲,用计数器对此计数。当达到某一数值是输出一脉冲,作为定时电路输出。此方案有较高的定时精度。且有利于计数器清零和计数值锁存。

电路图如下图所示:

R

4

DC

7

Q 3G N D

1

V C C

8

TR 2

TH

6

CV

5

U555

555

R12

21859

R13

21859

C3

10n

+5V

C4

2u2

CKA 14

Q0

12

CKB 1Q19Q28Q311R0(1)

2

R0(2)3R9(1)6R9(2)7UDJ1

74LS90

CKA 14

Q0

12

CKB 1Q19Q28Q311R0(1)

2

R0(2)3R9(1)6R9(2)7UDJ2

74LS90

CKA 14

Q0

12

CKB 1Q19Q28Q311R0(1)

2

R0(2)3R9(1)6R9(2)7UDJ3

74LS90

AND

AND

UDJ1(CKA)

图9定时电路图

我们用555产生周期为0.1s 的脉冲,然后计数600次。则总定时时间T=0.1*600s=60s 。 555定时器振荡周期:T=T1+T2=(R1+2R2)Cln2。为了计算简单,我们取C=2.2UF ,R1=R2=13115.4Ω(用可变电阻实现)。T0=0.1s 。然后用74LS90计数600次。74ls90计数部分会详细介绍。

产生的脉冲信号对计数器和锁存器进行控制。 产生的计数脉冲如下图所示:

图10定时60s 仿真图

可以看到,此电路准确定时60s,符合设计要求。

3.6计数电路

计数单元选用的是74ls90。74Ls90是异步二-五-十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

CKA

14Q012CKB

1

Q19Q28Q3

11

R0(1)2R0(2)3R9(1)6R9(2)

7

UJ1

74LS90

CKA 14Q012CKB

1

Q19Q28Q3

11

R0(1)2R0(2)3R9(1)6R9(2)

7

UJ2

74LS90

CKA 14Q012CKB

1

Q19Q28Q3

11

R0(1)2R0(2)3R9(1)6R9(2)

7

UJ3

74LS90

图11 计数器电路图

图12 74LS90引脚排列

图12为74LS90引脚排列,表2为功能表。

输 入

输 出 功 能

清 0

置 9

时 钟 Q D Q C Q B

Q A R 0(1)、R 0(2) S 9(1)、S 9(2) CP 1 CP 2 1 1 0 × × 0 × × 0 0 0 0 清 0 0 ×

× 0

1

1

× × 1

1

置 9 0 × × 0

0 × × 0

↓ 1

Q A 输 出 二进制计数 1 ↓ Q D Q C Q B 输出 五进制计数 ↓ Q A

Q D Q C Q B Q A 输出8421BCD 码

十进制计数

Q D ↓ Q A Q D Q C Q B 输出5421BCD 码 十进制计数

1 1

不 变

保 持

表2 74LS90功能表

在此电路单元中,将CP2和QA 相连,计数脉冲由CP1输入,QD 、QC 、QB 、QA 作为输出端,构成了异步8421码十进制加法计数器。由于74ls90计数脉冲为下降沿触发,我们把Qd 作为进位位。满足逢十进一原则。

同时我们把清零信号接在R0(1)。当清零高电平到来,即R 0(1)R 0(2)S 9(1)S 9(2)=1101时,实现异步清零功能,即QDQCQBQA =0000三个芯片全置为0。计数器重新开始计数。

3.7锁存、比较、显示单元

D 0

3

D 14D 27D 38D 413D 514D 617D 718C L K

11

M R 1Q 0

2

Q 15Q 26Q 39Q 412Q 515Q 616Q 719US2

74273

D 0

3

D 14D 27D 38D 413D 514D 617D 718

C L K

11

M R 1Q 0

2

Q 15Q 26Q 39Q 412Q 515Q 616Q 719US1

74273

A010A112A213A315B09B111B214B31AB

4

QA>B 5

UB

74LS85

A010A112A213A315B09B111B214B31AB

4

QA>B 5

UC

74LS85

A0

10A112A213A315B09B111B214B31AB

4

QA>B 5

UD

74LS85

A010A112A213A315B09B111B214B31AB

4

QA>B 5

UF

74LS85

A010A112A213A315B09B111B214B31AB

4

QA>B 5

UE

74LS85

+5V

A010A112A213A315B09B111B214B31AB

4

QA>B 5

UG

74LS85

图13 锁存、比较、显示电路

锁存器的作用是将控制信号结束时计数器测得数值进行锁存,使数码管能稳定的显示此时计数器的值。可以选用8D 锁存器74Ls273完成上述功能。当锁存脉冲的正跳变来到时,锁存器的输出等于输入,即Q=D ,从而将计数器的输出值送到锁存器的输出端。正脉冲结束后,无论D 为何值,输出端Q 的状态仍保持原来的状态不变,计数器的的输出不会送到译码显示器。

74Ls273是一种带清除功能的8D 触发器, 1D ~8D 为数据输入端,1Q ~8Q 为数据输出端,正脉冲触发,低电平清除,常用作8位地址锁存器。

在此电路中,锁存器脉冲是由定时电路产生的信号的上升沿。由于计数器清零是电平清零,需要一定的时间,因此在整个电路中是先锁存再清零,可以顺利将计数值送入锁存器保存。

图1474ls273引脚图和功能表

比较电路选用的芯片是74ls85。74ls85 可进行二进制码和BCD码的比较,对两个 4 位字的比较结果由三个输出端(FA>B,FA=B,FA<B)输出。

将若干85 级联可比较较长的字,此时低级位的FA>B,FA=B,FA<B连接到高位级相应的输入A>B、A=B、A<B,并使低位级的A=B为高电平。

图1574ls85二级级联电路

由于本次测的是人体1分钟心率,可能超过100。故我们选用三片级联。从右向左一次比较个位十位百位的BCD码。由图中可看出,上面三片74ls85预设的值为150,下面三片预设为060。当计数值大于150时,UD的FA<B 端输出高电平,当计数值小于60时,UG的FA>B端输出高电平。从而产生报警信号。

由于设计要求我们能够读出心率值,因此设计显示部分。我们看到,在用Proteus仿真时,选用的是7segBCD数码管,这个内嵌4—16译码器,可以将BCD码转化为数值显示。但是经上网及查阅有关书籍后,发现其实并没有这种器件,这个是Proteus软件为便于使用者验证而添加的。因此我们在制作实物时,就必须添加译码电路。译码器可以选用

74ls48。其功能表如表3所示:

当与数码管电路连接时,电路如下图所示:

表3 74ls48功能表

图18 显示单元

3.8报警单元

图19 报警器电路

比较电路的两个输出端信号作为报警单元的输入信号。两个信号经过或门之后接到报警器(LED)上。由于比较器及报警器呈现的是上一个计数周期(60s)内的心率状况,当第一次计数时,锁存器单元锁存的计数值为0,这必然引起报警器处于报警状态,从而引起电路错误。因此在报警单元中再加入一个锁存器。结果是在每次比较结束之后再判断是否应该报警。

当计数锁存与报警锁存使用同一个信号时,两者同时开启,不能将比较结果送入报警锁存器中。因此需要加一个延迟世间,使得报警锁存慢于计数锁存。74123 是双可重触发单稳态触发器。功能表如表4所示:

表4 74123功能表

可以从其中看出,输入端A为下降沿有效。我们把定时电路的输出脉冲接在输入口A 上,则相对计数锁存器延迟了一个脉冲的时间。从而实现了报警的功能。

4.总体电路绘制及仿真

4.1绘制的总体电路

见附录2

4.2电路工作原理

给整个系统通电以后,定时器电路开始定时。同时传感器输出的0.2V的心率信号开始进入放大电路。在仿真电路中我们用幅值为0.1V,偏置电压为0.1V的正弦信号代替心率信号。心率信号经过放大电路后,被放大25倍,变为5V。但是其中掺杂有干扰信号。接下来心率信号进入滤波电路,滤除其中的高频干扰信号,获得单一的心率信号。然后进入整形电路。心率信号与+3V的参考电压比较后,产生比较标准的方波信号。整形电路中还有一个作用,就是把频率低、幅度小的干扰信号忽略掉了。

方波信号进入计数电路,计数器开始计数,同时将计数值传送到锁存US1和US2的输入端。当锁存器将计数值锁存后,US1、US2输出端一直保持计数值。

锁存器US1、US2输出端接到显示单元输入端和比较器。从而使得显示单元显示上个定时周期(60s)的计数值,直至下次锁存器开启。比较电路的比较结果经过锁存器US3接入报警电路。

定时器定时60s后,产生一个正的很窄的脉冲。此脉冲产生三个控制信号。其一作用于计数器,使得计数器从零开始重新计数;其二作用于锁存器US1、US2,使得锁存器输入端的计数值进入锁存器锁存;其三经过一个触发器后,产生延迟,作用于锁存器US3,允许比较结果接入报警电路。三个控制信号的响应顺序依次为:锁存器US1、US2的锁存信号,计数器的清零信号,延迟了的锁存器US3锁存信号。

每个定时周期后,数码管会显示计数值,报警电路根据比较电路的输出决定是否报警。

4.3仿真结果

我们选取三种不同频率的正弦信号接入电路。

当输入信号的频率为0.8Hz时,60s后显示器显示“048”,报警器报警(发光)。

图20 当输入信号频率为0.8HZ 时显示与报警状态

当输入信号的频率为2.0Hz 时,60s 后显示器显示“120”,报警器正常。

图21 当输入信号频率为2.0HZ 时显示与报警状态

当输入信号的频率为3.0Hz 时,60s 后显示器显示“180”,报警器报警。

BJ

L E D -Y E L L O W

图22 当输入信号频率为3.0HZ 时显示与报警状态

因此设计的心率测试仪符合要求。

4.4电子元件清单

见附录1

5.总结

通过本次对心率测试仪的设计实现了对心率的准确测定,使我受益匪浅。电路中既有模拟信号,又有数字信号,加深了对模数转换的理解。在设计过程中,考虑各方面的因素,尽量做到线路简单,充分利用集成芯片,弥补分离元器件的精度不足。甚至还可以以该设计为基础加载其他功能,使其功能和结构更加完善。

此次设计基础均为之前所学过的数字电路、模拟电路方面的知识,之前所学过于零散,不扎实,也不能够将那些知识综合运用于一个系统中。经过这次设计以及查阅有关资料,仿真验证等,熟悉了数电、模电一些单元的作用,真正做到融会贯通。尤其是对一些常用的芯片,比如计数器、锁存器、触发器等,有了较为深刻的了解。

通过运用Proteus软件及其仿真部分和虚拟器件,熟悉了基本的操作。同时,更使我了解到,现代系统电路的设计,离不开模拟仿真软件。这次课程设计,如果采用实物操作、实物模拟的话,将会花费大量的精力与资金。今后电子电路越来越复杂,器件越来越多,没有仿真模拟软件,那几乎是不可能完成的任务。

此次设计也吸取了一些教训:

1.在不是很清楚某个器件功能表的时候,不能想当然的认为它具有某种功能。比如此次设计中,开始采用的计数芯片是74160,查阅资料后了解到它是十进制计数器。但是74160是在输出为1001(9)的时候产生进位。结果导致了输出结果的错误,浪费了较长的时间。

2.善于发现细节。开始做定时器单元时,发现总是有一个大约0.6s的定时误差。开始认为是由于555定时器RC选择不准确所致。仔细研究后发现是定时电路中一个环节的系统误差。改进后提高了测量精度。

3.绘制器件时,版图要工整。这不仅使电路美观,更可以帮助我们快速的检查出错误,节约时间。

作为大学生,我认识到不仅要学好课本上的知识,还要学会吧学到的知识应用到现实生活中,要做到理论联系实际,认识其重要性和必要性。真正体会到设计是一件辛苦与充满乐趣的事情,同时加深了对数电、模电课程的理解,学会了基本集成电路的运用。在设计过程中学到了很多在课本上学不到的东西,也发现了自己的很多不足之处。深深的体会到只有认真的去做才能知道它真正的意义,不要眼高手低,要一步一步,脚踏实地的去做。

总之,通过此次课程设计,使我真正了解了电路设计的内涵。亲自动手是课堂学习的延续,电子领域随时随地都在发生着翻天覆地的变化,现有的知识储备总是落后于科技的发展脚步,我们只有不断学习新知识,才能做到在面对新课题时游刃有余。

附录

附录1

电子元件清单

序号名称型号数量

1 电阻R 11

2 可变电阻R 2

3 电容 C 4

4 集成运放OP-27 2

5 集成运放uA741 1

6 定时器555 1

7 二输入与门and 1

8 二输入或门7342 9

9 单稳态触发器74123 1

10 记数器74LS90 6

11 比较器74LS85 6

12 稳压管1N4733A 1

13 锁存器74LS273 3

14 LED数码管7SEG_BCD 3

15 报警器LED-YELLOW 1

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

便携式心率监测仪文献综述

文献综述 一、目的和意义 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。未来,还将有众多能显著改善医疗效果的创新型医疗应用产品。多年来,心率检测仪在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。目前,检测心率的仪器虽然很多,但是体积大,功耗大,不易于携带。有些医院使用的各种心率监测仪器抗干扰性差,开发成本高,价格昂贵,即便用于心率信号采集的传感器也价格不菲。如果心率监测的仪器能够做到体积小,制作成本和销售价格低、操作简单,能被普通家庭患者接受,这无疑为临床诊断和个人保健使用提供了方便。因此,设计一种成本低廉,可随身携带,可长时间记录,显示和存储心率值,可与微机通讯并具有较强抗干扰能力的心率检测仪是十分必要的。基于此,本文探究研发了一种体积小,操作简单,适合家庭和社区医疗保健使用的便携式心率检测仪。 二、国内外现状 心电监护(ECG Telemonitor)的历史,可以追溯到上世纪初。1903年,“心电图之父”荷兰教授Einthoven通过1500米的电缆线,记录了世界上第一份完整人体心电图,这在后来被广泛认为是心电监护的雏形。其后数十年间,伴随冠心病等心血管疾病的大肆流行,心电采集和监测技术得以迅猛发展。最早,医务人员对ECG的监测和需求,是从危重病人抢救开始的。1933年Hooker首次进行实验动物心脏复苏,

通过密切观察心脏跳动状况,来总结和判断病人的危重抢救效果。1943年Claude Beek首次在手术室内实施电除颤,开始ECG的监测和临床应用。1952年Zoll首次推出心脏起搏术,通过对心脏功能未完全恢复的病人进行起搏、监护,使病人得以康复。1956年体外除颤仪问世,提高了危重病人抢救的存活率。1960年Kauwenhoven报道胸外心脏按摩有效,心脏复苏技术日渐成熟。1960年研发的持续床边ECG监测仪,能够适时不断地监护病人的ECG状况,使得心脏病人及危重病人得以密切和连续的被观察,同时帮助医务人员能对病人的心电情况做出连续的分析和判断。20世纪中晚期,动态心电图(Holter)、床旁心电监护仪先后发明并在临床得到应用。同期,使用远程通信技术、全息影像技术、新电子技术和计算机多媒体技术、网络技术的远程医疗(TeleMedicine)日益兴起和成熟,心电远程监护获得了长久发展和广泛应用。20世纪60~80年代,基于传输的心电监护技术(TTM)在国外得到应用和普及,并取得了良好的效果。TTM技术的原理是将实时采集的心电信息转变为声音,通过传至医院接收机,再将声音谐调为心电信号,用心电图机描记,医生通过给予患者诊断和治疗国内的医用心电监测仪虽然相比国外起步较晚,但经过多年的研究发展也取得了相当可观的成果。某大学电气工程学院的陈颖昭、高跃明等人设计了一种一种基于STM32 的便携式家用心电检测仪。心电电极采集体表单导联心电信号,经预处理电路对心电信号进行放大、滤波和电平抬升后,送至STM32 中进行模/数转换和数字处理,在液晶屏上实时显示心电波形、心率和分析结果。实验表明,该心电

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

相关文档
最新文档