微机原理综合设计实验

微机原理综合设计实验

微机原理综合设计实验任务书1

组别班级姓名学号

班级姓名学号

题目:电子钟

内容:

利用8253和8259芯片实现实时电子时钟的功能;利用8255控制七段数码管完成定时扫描显示。显示格式为xx时xx分xx秒,每隔1s,时间值改一次。按键SHIFT可选择时、分、秒,按键CTRL可对所选的时、分、秒进行加1,小时加至23后清0,分、秒加至59后清0。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

能够使电子钟定时闹钟,例如在06:30:00时,点亮LED灯进行闹钟报警。

微机原理综合设计实验任务书3

组别班级姓名学号

班级姓名学号

题目:电子琴A

内容:

利用8253实现电子琴的发声;利用8255控制实验上的键盘。能够使用按键演奏出不同音阶,至少有14个音阶。能够通过按键选择播放已编好的乐曲。

要求:

1、查阅资料,掌握8253发出不同音阶的方法,设计蜂鸣器发声电路。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

当按下按键发声时,七段数码管同步显示当前音阶。

组别班级姓名学号

班级姓名学号

题目:电子琴B

内容:

利用8253实现电子琴的发声,能够发出不同音阶,至少有14个音阶;利用8250串口通讯,从上位机发送音符。上位机能够通过命令选择播放已编好的乐曲。

要求:

1、查阅资料,掌握8253发出不同音阶的方法,设计蜂鸣器发声电路。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

当上位机发送音符发声时,七段数码管同步显示当前音阶。

组别班级姓名学号

班级姓名学号

题目:数字电压表A

内容:

利用ADC0809采集电位器的电压值,将采集的电压值通过8255显示在七段数码管上,显示数据保留小数点后2位,利用8253定时数据更新,每隔0.5秒数据更新一次。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

具有设置报警阈值功能,当超过阈值时,点亮一个LED灯。

组别班级姓名学号

班级姓名学号

题目:数字电压表B

内容:

利用ADC0809采集电位器的电压值,将采集的电压值通过8250传输到上位机,上位机显示数据格式为“U=x.xxV”,利用8253定时数据更新,每隔1秒数据更新一次。

要求:

1、查阅资料,掌握ASCII码的转换。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

具有设置报警阈值功能,当超过阈值时,上位机显示“U=x.xxV,err”。

组别班级姓名学号

班级姓名学号

题目:步进电机控制A

内容:

通过8255扩展I/O口,控制ULN2003驱动四相八拍步进电机,并且控制按键1选择步进电机的转动方向,控制按键2选择步进电机的转动速度(可分为快速、中速、慢速),控制按键3选择步进电机的启动和暂停,可同步显示在七段数码管上。(也可考虑74LS244、74LS273扩展I/O口)

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理,掌握ULN2003驱动四相八拍步进电机的原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

初始有七段数码管显示启动提示10秒倒计时。

组别班级姓名学号

班级姓名学号

题目:步进电机控制B

内容:

通过8255扩展I/O口,控制ULN2003驱动四相八拍步进电机,上位机通过8250发送特定指令选择步进电机的转动方向,选择步进电机的转动速度(可分为快速、中速、慢速),选择步进电机的启动和暂停,可同步显示在七段数码管上。(也可考虑74LS244、74LS273扩展I/O口)

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理,掌握ULN2003驱动四相八拍步进电机的原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

初始上位机有启动提示语句“READY?”,只有输入“YES”,系统才能工作。

组别班级姓名学号

班级姓名学号

题目:步进电机控制C

内容:

通过8255扩展I/O口,控制ULN2003驱动四相八拍步进电机,并且控制按键1选择步进电机的转动方向,控制按键2选择步进电机的启动和暂停,通过8253计数步进电机转过的圈数显示在七段数码管上,正转圈数做加法,反转圈数做减法。(也可考虑74LS244、74LS273扩展I/O口)

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理,掌握ULN2003驱动四相八拍步进电机的原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

可设置正转圈数最大值,当转到最大值时自动反转至圈数为0。

组别班级姓名学号

班级姓名学号

题目:七段数码管控制

内容:

利用8255控制七段数码管完成扫描显示。上位机可通过8250发送七段数码管显示的字符串,字符串长度不少于8个字符。利用8253和8259芯片实现计时1s的功能,使得字符串每隔1秒右移一位循环显示。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

通过按键切换字符串左移或右移。

组别班级姓名学号

班级姓名学号

题目:温度采集系统A

内容:

利用ADC0809采集热敏电阻(型号NTC 10K,B3950),将采集的温度值通过8255显示在七段数码管上,显示数据保留小数点后1位,利用8253定时数据更新,每隔1秒数据更新一次。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理,设计热敏电阻采集温度的电路。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

具有设置报警阈值功能,当超过阈值时,点亮一个LED灯。

组别班级姓名学号

班级姓名学号

题目:温度采集系统B

内容:

利用ADC0809采集热敏电阻(型号NTC 10K,B3950),将采集的电压值通过8250传输到上位机,上位机显示数据格式为“T=x.x”,利用8253定时数据更新,每隔0.5秒数据更新一次。

要求:

1、查阅资料,设计热敏电阻采集温度的电路。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

具有设置报警阈值功能,当超过阈值时,上位机显示数据格式为

“T=x.x,err”。

组别班级姓名学号

班级姓名学号

题目:多路电压采集系统A

内容:

利用ADC0809采集4路电位器电压值,将采集的电压值通过8250发送至上位机,上位机显示数据格式为“U1=x.xxV,U2=x.xxV,U3=x.xxV,U4=x.xxV”,利用8253定时数据更新,每隔1秒数据更新一次。上位机可通过发送命令选择显示第几路。

要求:

1、查阅资料,掌握ASCII码的转换。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

上位机发送命令选择是显示AD转换数值还是显示电压值。

组别班级姓名学号

班级姓名学号

题目:多路电压采集系统B

内容:

利用ADC0809采集4路电位器电压值,将采集的电压值通过8255轮流显示在七段数码管上,显示数据保留小数点后2位,数据格式为“Ux=x.xx”,利用8253定时数据更新,每隔1秒数据更新一次。可通过按键切换选择显示第几路。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

具有设置报警阈值功能,当超过阈值时,相应第几路显示“Ux=err”。

组别班级姓名学号

班级姓名学号

题目:占空比可调的方波发生器

内容:

利用ADC0809采集电位器电压值,根据采样结果输出相应占空比的方波。电位器输出电压为0时,输出方波占空比为0,电位器输出电压为5V时,输出方波占空比为100%。利用8253定时计数,使得输出方波信号频率为100Hz。利用8255控制七段数码管完成显示,电压、占空比显示格式为“x.x xxx”。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

使用按键控制该系统的工作和停止。

组别班级姓名学号

班级姓名学号

题目:简单计算器

内容:

利用8255控制实验箱上的键盘,实现2位十进制数以内的加减乘除运算,将运算结果通过8250发送到上位机。键盘为10个数字键0~9,6个功能键:+、-、×、÷、=、复位。

要求:

1、查阅资料,掌握共阴极七段数码管的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

可通过上位机发送计算式,返回运算结果。

组别班级姓名学号

班级姓名学号

题目:串行AD的应用

内容:

通过8255扩展I/O口,模拟串行AD芯片TLC1549的传输协议,将采集电位器电压转换后的16进制数,通过8250发送到上位机。每隔0,5秒传输1次。

要求:

1、查阅资料,掌握TLC1549的工作原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

上位机发送命令启动和停止该系统。

组别班级姓名学号

班级姓名学号

题目:超声波测距

内容:

通过8255扩展I/O口,对超声波传感器(型号HC-SR04)进行控制与检测,利用8253对声波进行计时,将检测所得的距离通过8250传输到上位机,传输数据格式“S=x.xCM”,每隔0.5秒传输一次。

要求:

1、查阅资料,掌握超声波测距的原理。

2、分析实验原理,设计实验方案,绘出实验连线图。

3、绘制实验程序流程图。

4、编写实验程序,检查无误后,编译、链接并下载入实验系统。

5、运行实验程序,验证程序功能。

扩展要求:

通过上位机发送命令设置阈值,当超过阈值时,传输数据格式为“S=x.xCM,err”。

微机原理期末考试考试卷及答案

的数据线为16位,地址线为20位,I/O口使用16位地址线。 2.在某进制的运算中7×3=33,则7×5=_55。 3.用8位二进制数表示整数时,十进制数(-128)的补码为__。原码为无,反码为无。3.8086内部寄存器长度为16位,通用寄存器有8个,段寄存器有4个。 4.8086偶存储体的选片信号是A0,奇存储体的选片信号是BHE 。 5.MOV AX,[BX+SI]的源操作数是基址变址寻址方式,目的操作数是寄存器寻址方式。6.8086可以指向堆栈的指针寄存器有bp和sp。 7.程序中段定义结束的伪指令是ENDS,子程序定义结束的伪指令是ENDP。 8.EEPROM的擦除方法为点擦除. 9.下列指令段经汇编后,DATA所代表的偏移地址为_100 CH__。 & ORG 1000H STROE DB 12 DUP() DATA DB 05H,06H,07H,08H 1. 8086/8088在不考虑段跨越情况下,DI寄存器给出的偏移地址位于_C__。 A. 仅DS段 B. DS或SS段 C. DS或ES段 D. DS或CS段 2. 下列指令中格式错误的是___A___。 A. SHL AL,CX B. XCHG AL,BL C. MOV BX,[SI] D. AND AX,BX 3. 设(20010H)=3FH,(20011H)=B2H,执行下列指令段后,BX=____B__。 MOV AX,2000H " MOV DS,AX MOV BX,[0010H] A. B2B2H B. B23FH C. 3F3FH D. 3FB2H 4. 若SP=0124H,SS=3300H,在执行INT 60H这条指令后,堆栈栈顶的物理地址为___D___。 A. 3311CH B. 33120H C. 33122H D. 3311EH 5. 下列指令中格式错误的是_C__。 A. MOV AX,357AH[BX][DI] B. OUT DX,AL C. LEA AX,50H[BX][BP] D. RCR BX,1 6. 下列指令中允许寻址范围最大的是_D__。 A. JNZ NEXT B. LOOP NEXT ¥ C. JMP SHORT PTR NEXT D. CALL NEAR PTR NEXT 7. 8086/8088不属于BIU部分的寄存器是__D____。 A. IP B. ES C. DS D. SP 8088最大模式比最小模式在结构上至少应增加___B_。 A. 中断优先级控制器 B. 总线控制器 C. 数据驱动器 D. 地址锁存器 9. 8086在存取存贮器中以偶地址为起始地址的字时,M/IO、BHE、A0的状态分别是____D__。 A. 0,0,1 B. 1,0,1 C. 1,1,0 D. 1,0,0 10. 一个半导体存贮芯片的引脚有 A13~A0,D3~D0,WE,OE,CS,VCC,GND等,该芯片存贮容量为____C__。 A. 8K×8 B. 8K×4 C. 16K×4 D. 16K×8 !

微机原理综合实验-交通灯

华北科技学院计算机系综合性实验 实验报告 课程名称微机原理与接口技术 实验学期2011至2012学年第二学期学生所在系部电子信息工程学院 年级09级专业班级自动化B091班学生姓名戴子昱学号15 任课教师王德志 实验成绩 计算机系制

实验报告须知 1、学生上交实验报告时,必须为打印稿(A4纸)。页面空间不够,可以顺延。 2、学生应该填写的内容包括:封面相关栏目、实验地点、时间、目的、设备环境、 内容、结果及分析等。 3、教师应该填写的内容包括:实验成绩、教师评价等。 4、教师根据本课程的《综合性实验指导单》中实验内容的要求,评定学生的综合 性实验成绩;要求在该课程期末考试前将实验报告交给任课教师。综合性实验中,所涉及的程序,文档等在交实验报告前,拷贝给任课教师。任课教师统一刻录成光盘,与该课程的期末考试成绩一同上交到系里存档。 5、未尽事宜,请参考该课程的实验大纲和教学大纲。

《微机原理与接口技术》课程综合性实验报告 开课实验室:接口实验室2012年06月12日 实验题目 交通信号灯的控制 一、实验目的 (1)通过8255并行接口来控制LED发光二极管的亮灭,并精确延时。 (2)实现黄灯闪烁。 (3)通过8255并口控制,人工进行交通灯的转换。 二、设备与环境 PC机(windows XP) 实验箱(TPC-386EM) 8255A、8253 三、实验内容 1需要完成的基本控制要求概览: (1)南北路口的绿灯、东西路口的红灯同时亮5秒。 (2)南北路口的黄灯闪烁若干次,维持3秒,同时东西路口的红灯。 (3)南北路口的红灯、东西路口的绿灯同时亮5秒。 (4)南北路口的红灯、同时东西路口的黄灯亮烁若干次,维持3秒。 (5)转(1)重复。 (6)紧急情况可以手动控制红绿灯的变换。一个开关控制南北绿,东西红,另一个按钮南北红,东西绿。 (7)黄灯闪烁时扬声器发声,提醒。 2交通红绿的设计基本端口规划 8255A口作为灯控制输出,PA0-PA5分别对应东西红黄绿,南北红黄绿,P6与P7口不用;8253B口作为输入,PB0-PB3分别对应启动,停止,东西方向交通管制,南北方向交通管制(高电平有效)。 8253C口最低位作为输入,接入8253通道1的产生的。1s方波上下降沿来定时;8253通道0输入时钟为1Mhz,通道0用来分频,通道1用来产生1s方波,通道2不用。接线图1所示

微机原理期末考试复习课案

1、统计出某数组中相邻两数之间符号变化的次数DATA SEGMENT ARRAY DB 20 DUP (?) NUM DB 0 DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA STARTUP:LEA SI , ARRAY; MOV AL ,[SI] MOV BL,0 MOV CX,19 AGAIN: INC SI XOR AL ,[SI] J NS NEXT INC BL NEXT: MOV AL ,[SI] LOOP AGAIN MOV NUM,BL MOV AH ,4CH INT 21H CODE ENDS END STARTUP 2、乘法指令实现32位二进制数与16位二进制数相乘MODEL SMALL .8086 .DATA N1 DW 1234H N2 DW 5678H N3 DW 4444H M1 DW 0 M2 DW 0 M3 DW 0 CODE . STARTUP MOV AX ,N2 MUL N3 MOV M3,AX MOV M2,DX MOV AX,N3 MUL N1 ADD M2,AX ADC M1,DX .EXIT

END 3、设有3个自变量的变量名及其内容如下: V AR1 3C46H , V AR2 F678H , V AR3 0059H 设计一个数据段定义这三个变量及其地址表变量DDRTABL DATA SEGMENT V AR1 DW 3C46H V AR2 DW F678H V AR3 DW 0059H ADDRTABL DD V AR1 DD V AR2 DD V AR3 DATA ENDS 4、设有一个符号数组,共M个,求其中最大的数,如需要求绝对值最大应如何修改,如为无符号数,程序如何修改。 DATA SEGMENT M EQU 10 DAT DW M DUP(?) MAX DW ? DATA ENDS CODE SEGMENT ASSUME CS:CODE ,DS:DATA STARUP : MOV AX ,DAT MOV MAX ,AX CLD LEA SI ,DAT MOV CX ,M L1: LODSW CMP AX ,MAX JNG LAB MOV MAX ,AX LAB : LOOP L1 MOV AH ,4CH INT 21H CODE ENDS END STARTUP 绝对值修改:需要在LODSW插入 AND AX ,AX JNS LAB1 NEG AX LAB1: CMP AX ,MAX 程序初始化时MAX应送入0

微机原理及应用实验(题目)

微机原理及应用实验 实验一开发环境的使用 一、实验目的 掌握伟福开发环境的使用方法,包括源程序的输入、汇编、修改;工作寄存器内容的查看、修改;内部、外部RAM内容的查看、修改;PSW中个状态位的查看;机器码的查看;程序的各种运行方式,如单步执行、连续执行,断点的设置。二、实验内容 在伟福开发环境中编辑、汇编、执行一段汇编语言程序,把单片机片内的 30H~7FH 单元清零。 三、实验设备 PC机一台。 四、实验步骤 用连续或者单步的方式运行程序,检查30H-7FH 执行前后的内容变化。五、实验思考 1.如果需把30H-7FH 的内容改为55H,如何修改程序? 2.如何把128B的用户RAM全部清零? 六、程序清单 文件名称:CLEAR.ASM ORG 0000H CLEAR: MOV R0,#30H ;30H 送R0寄存器 MOV R6,#50H ;50H 送R6寄存器(用作计数器) CLR1: MOV A,#00H ;00 送累加器A MOV @R0,A ;00 送到30H-7FH 单元 INC R0 ;R0 加1 DJNZ R6,CLR1 ;不到50H个字节,继续 WAIT: LJMP WAIT END 实验二数据传送 一、实验目的 掌握MCS-51指令系统中的数据传送类指令的应用,通过实验,切实掌握数据传送类指令的各种不同的寻址方式的应用。 二、实验内容 1.编制一段程序,要求程序中包含7中不同寻址方式。 2.编制一段程序,将片内RAM30H~32H中的数据传送到片内RAM38H~3AH中。 3.编制一段程序,将片内RAM30H~32H中的数据传送到片外RAM1000H~1002H 中。 4.编制一段程序,将片内RAM40H~42H中的数据与片外RAM2000H~2002H中的数据互换。 三、实验设备 PC机一台。

微机原理上机实验(一)实验报告数据传输传送实验

微机原理上机实验(一)实验报告 主题:数据传送 一、实验目的 熟悉星研集成环境软件的使用方法。熟悉Borland公司的TASM编译器 熟悉8086汇编指令,能自己编写简单的程序,掌握数据传输的方法。 二、实验内容 1、熟悉星研集成环境软件。 2、编写程序,实现数据段的传送、校验。 三、实验代码 _STACK SEGMENT STACK DW 100 DUP() _STACK ENDS DATA SEGMENT DATA ENDS CODE SEGMENT START PROC NEAR ASSUME CS:CODE, DS:DATA, SS:_STACK MOV AX, DATA ;将数据段的地址存入AX寄存器。AX=004DH MOV DS,AX ;对DS段寄存器赋值。DS=004DH MOV ES,AX ;对ES段寄存器赋值。ES=004DH NOP ;空指令

MOV CX,100H ;把100H送到CX寄存器。CX=0100H MOV SI,3000H ;把3000H送到SI寄存器。SI=3000H MOV DI,6000H ;把6000H送到DI寄存器。DI=6000H CALL Move ;调用Move子程序 MOV CX,100H ;把100H送到CX寄存器。CX=0100H MOV SI,3000H ;把3000H送到SI寄存器。SI=3000H MOV DI,6000H ;把6000H送到DI寄存器。DI=6000H CLD ;将DF标志位置0。设置SI、DI为递增移动,DF=0 REPE CMPSB ;比较[SI]和[DI],CX减1,ZF=0或CX=0跳出 ;若ZF=0或CX=0不成立,则继续比较。SI和DI持续递增 1 JNE ERROR ;若ZF=0,跳到ERROR子程序 TRUE: JMP $ ;跳到目前地址 ERROR: JMP $ ;跳到目前地址 Move PROC NEAR ;Move子程序 CLD ;将DF标志位置0。设置SI、DI为递增移动。DF=0 CMP SI,DI ;比较SI、DICF=SF=PF=1,仅有该三个标志位变化JZ Return ;如果相等,跳到Return JNB Move1 ;如果SI大于等于DI,跳到Move1 ADD SI,CX ;SI=SI+CX。SI=3100H DEC SI ;SI减1。SI=30FFH

微机原理实验

微型计算机原理实验报告 学号 姓名海米提。热合木江班级测控0902班

实验一显示程序实验 一般来说,程序需要显示输出提示运行的状况和结果,有的还需要将数据区中的内容显示在屏幕上。本实验要求将指定数据区的数据以十六进制数形式显示在屏幕上,并通过DOS功能调用完成一些提示信息的显示。实验中可使用DOS功能 调用(INT 21H)。 流程图

2.试验程序 DA TA SEGMENT MES DB 'Show a as hex:', 0AH,0DH,'$' SD DB 'a' DATA ENDS CODE SEGMENT ASSUME CS:CODE, DS:DATA START: MOV AX, DATA MOV DS, AX MOV DX, OFFSET MES MOV AH, 09H INT 21H MOV DI, OFFSET SD MOV AL, DS:[DI] AND AL, 0F0H SHR AL,4 CMP AL, 0AH JB C2 ADD AL, 07H C2: ADD AL, 30H MOV DL, AL MOV AH, 02H INT 21H MOV AL, DS:[DI] AND AL, 0FH CMP AL, 0AH JB C3 ADD AL, 07H C3: ADD AL, 30H MOV DL, AL MOV AH, 02H INT 21H MOV AX, 4C00H INT 21H CODE ENDS END START

实验二数据传送实验 三、实验内容 将数据段中的一个字符串传送到附加段中,并输出附加段中的目标字符串到屏幕上 1.试验程序 DA TA SEGMENT MSR DB "HELLO,WORLD!$" LEN EQU $- MSR DDATA ENDS EXDA SEGMENT MSD DB LEN DUP() EXDA ENDS MYSTACK SEGMENT STACK DW 20 DUP() MYSTACK ENDS CODE SEGMENT ASSUME CS:CODE, DS:DDA TA, ES:EXDA START: MOV AX, DDATA MOV DS, AX MOV AX, EXDA MOV ES,AX MOV SI, OFFSET MSR MOV DI, OFFSET MSD MOV CX, LEN NEXT: MOV AL, [SI] MOV ES:[DI], AL INC SI INC DI DEC CX JNZ NEXT PUSH ES POP DS MOV DX, OFFSET MSD MOV AH, 9 INT 21H MOV AX, 4C00H

微机原理试题及答案(考试必备)

一、选择题(20分,在每小题的4个备选答案中选出一个正确的答案编号填写在该题空白处,每题2分) 1.指令MOV DX,[BX]的寻址方式是 D 。 (A)立即寻址(B)直接寻址(C)寄存器寻址(D)寄存器间接寻址2.若AL的内容为3FH,执行SHL AL,1指令后,AL的内容为 A 。 (A)7EH (B)1FH (C)9FH (D)7FH 解析:shl al ,1 即目的操作数各位左移一次,移动一次,相当于将目的操作数乘以2。3fh=63 63*2=126 126=7eh 故选A 3.属于在工作中需定时刷新的存储器的芯片是 B 。 (A)SRAM (B)DRAM (C)EEPROM (D)EPROM 4.某EPROM芯片上有19条地址线A 0~A 18 ,它的容量为 C 。 (A)128K (B)256K (C)512K (D)1024K 5.8086/8088CPU的SP寄存器是多少位的寄存器 B 。 (A)8位(B)16位(C)24位(D)32位 6.在STOSB指令执行的时候,隐含着寄存器的内容作为操作数的偏移地址, 该寄存器是 D 。 (A)SP (B)BX (C)SI (D)DI 解析:stos数据串存储指令功能:将累加器al或ah中的一个字或字节,传送到附加段中以di为目标指针的目的串中,同时修改di,以指向串中的下一个单元。 7.8255工作在方式0时,下面哪种说法不正确 C 。 (A)A口输入无锁存能力(B)A、B口输出有锁存能力 (C)C口输入有锁存能力(D)A、B、C三个口输出均有锁存能力 解析:对于方式0,规定输出信号可以被锁存,输入不能锁存 8.采用DMA方式来实现输入输出是因为它 A 。 (A)速度最快(B)CPU可不参与操作(C)实现起来比较容易(D)能对突发事件做出实时响应 9.工作在最小模式下,8086/8088CPU芯片中,将地址信号锁存的信号是 C 。 (A)DT/R (B)DEN (C)ALE (D)AEN 10.在LOOP指令执行的时候,隐含着对计数器减1的操作,该计数器是用寄存 器 C 。 (A)AX (B)BX (C)CX (D)DX 解析:loop:循环指令。指令执行前必须事先见重复次数放在cx寄存器中,每执行一次loop指令,cx自动减1。 二、填空题(每小题2分,共10分) 1.两个无符号数相等,该两数相减后ZF标志= 1 。解析:zf: 全零标志位。本次运算结果为0时,zf=1,否则zf=0。 2.执行LODSB后,SI的内容较该指令执行前增加1,说明DF =。 3.DMA控制器8237共有个相互独立的DMA通道。 4.8086/8088断点中断的向量码是 03H 。 5.利用8253产生对称的方波,应用其工作方式 3 。解析:8253 的工作方式3为方波发生器。

微机原理及应用实验

实验报告1 实验项目名称:I/O地址译码;简单并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握I/O地址译码电路的工作原理,简单并行接口的工作原理及使用方法。 二、预备知识: 输入、输出接口的基本概念,接口芯片的(端口)地址分配原则,了解译码器工作原理及相应逻辑表达式,熟悉所用集成电路的引线位置及各引线用途;74LS245、74LS373的特性及作用。 三、实验内容245输入373输出 使用Protues仿真软件制作如下电路图,使用EMU8086编译软件编译源程序,生成可执行文件(nn . exe),在Protues仿真软件中加载程序并运行,分析结果。 编程实现:读8个开关的状态,根据输入信号控制8个发光二极管的亮灭。 图1-1 245输入373输出 四、程序清单

五、实验结果 六、结果分析 七、思考题: 1、如果用74LS373作输入接口,是否可行?说明原因;用74LS245作输出接口,是否可行?说明原因。

实验报告2 实验项目名称:可编程定时器/计数器;可编程并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握8253的基本工作原理和编程应用方法。掌握8255的工作原理及使用方法。 二、预备知识: 8253的结构、引脚、控制字,工作方式及各种方式的初始化编程及应用。 8255的内部结构、引脚、编程控制字,工作方式0、1、2的区别,各种方式的初始化编程及应用。 三、实验内容: ⑴8253输出方波 利用8253的通道0和通道1,设计产生频率为1Hz的方波。设通道0的输入时钟频率为2MHz,8253的端口地址为40H,42H,44H,46H。通道0的输入时钟周期0.5μs,其最大定时时间为:0.5μs×65536 = 32.768ms,要产生频率为1Hz(周期= 1s)的方波,利用;一个通道无法实现。可用多个通道级连的方法,将通道0的输出OUT0作通道1的输入时钟信号。设通道0工作在方式2(频率发生器),输出脉冲周期= 10 ms,则通道0的计数值为20000(16位二进制)。周期为4 ms的脉冲作通道1的输入时钟,要求输出端OUT1输出方波且周期为1s,则通道1工作在方式3(方波发生器),计数值为100(8位;二进制)。硬件连接如图2-1。

微机原理实验报告

西安交通大学实验报告 课程_微机与接口技术第页共页 系别__生物医学工程_________实验日期:年月日 专业班级_____组别_____交报告日期:年月日 姓名__ 学号__报告退发 ( 订正、重做 ) 同组人_教师审批签字 实验一汇编语言程序设计 一、实验目的 1、掌握Lab6000p实验教学系统基本操作; 2、掌握8088/8086汇编语言的基本语法结构; 3、熟悉8088/8086汇编语言程序设计基本方法 二、实验设备 装有emu8086软件的PC机 三、实验内容 1、有一个10字节的数组,其值分别是80H,03H,5AH,FFH,97H,64H,BBH,7FH,0FH,D8H。编程并显示结果: 如果数组是无符号数,求出最大值,并显示; 如果数组是有符号数,求出最大值,并显示。 2、将二进制数500H转换成二-十进制(BCD)码,并显示“500H的BCD是:” 3、将二-十进制码(BCD)7693转换成ASCII码,并显示“BCD码7693的ASCII是:” 4、两个长度均为100的内存块,先将内存块1全部写上88H,再将内存块1的内容移至内存块2。在移动的过程中,显示移动次数1,2 ,3…0AH…64H(16进制-ASCII码并显示子

程序) 5、键盘输入一个小写字母(a~z),转换成大写字母 显示:请输入一个小写字母(a~z): 转换后的大写字母是: 6、实现4字节无符号数加法程序,并显示结果,如99223344H + 99223344H = xxxxxxxxH 四、实验代码及结果 1.1、实验代码: DATA SEGMENT SZ DB 80H,03H,5AH,0FFH,97H,64H,0BBH,7FH,0FH,0D8H;存进数组 SHOW DB 'THE MAX IS: ','$' DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA ;把数据的基地址赋给DS MOV DS,AX MOV DX,OFFSET SHOW ;调用DOS显示字符串 MOV AH,09H INT 21H MOV SI ,OFFSET SZ ;数组的偏移地址赋给SI MOV CX,10 ;存进数组的长度给CX MOV DH,80H ;将数组的第一个数写进DH NEXT: MOV BL,[SI] ;将数组的第一个数写进BL CMP DH,BL ;比较DH和BL中数的到校 JAE NEXT1 ;如果DH中的数大于BL中,将跳转到NEXT1 MOV DH,BL ;如果DH中的数小于BL中,将BL中的数赋给DH NEXT1: INC SI ;偏移地址加1 LOOP NEXT;循环,CX自减一直到0,DH中存数组的最大值 ;接下来的程序是将将最大值DH在屏幕上显示输出 MOV BX,02H NEXT2: MOV CL,4 ROL DH,CL ;将DH循环右移四位

微机原理实验简易计算器

【实验题目】 简易计算器设计 【实验目的】 综合测试学生微机接口技术及应用能力,包括系统构思设计、电路设计搭建、软件调试等; 结合应用实际,培养学生运用微机技术服务应用、服务实际的能力。 【基本要求】 1)利用实验箱上的4x4键盘及6位数码管,实现两个16位宽的非负整数(0~65535)进行+、-、×运算,计算结果限制在范围-65535~65535,超过范围在数码管最低位显示E; 2)16个按键的分配可以自行指定; 【扩展要求】 1)按基本要求保持输入的范围不变(16位宽),扩展计算结果的范围到用足6位数码管,当计算结果超过-65535~999999时,显示E; 2)增加÷的功能,有小数显示; 【实验程序】 ;该程序实现了基本要求及扩展要求的2) DSEG SEGMENT BUFF DB 6 DUP(?) LED_7 DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,77H,7C H,39H,5EH,79H,71H,00H,40H POSITION DB 0DFH,0EFH,0F7H,0FBH,0FDH,0FEH UNIT10 DW 10000,1000,100,10,1 NEWNUM DB 0 COUNT DB 0 FLAG DB 0 ;是否有键按下的标志 NEGTI DB 0 ;是否为负数的标志 NUM DW 0 NUM1 DW 0 NUM2 DW 0 TAG DB 0 ;运算种类标志 POINT DB 0 ;除法结果添加小数点标志 RESULT DW 0 DSEG ENDS CSEG SEGMENT ASSUME DS:DSEG,CS:CSEG START: MOV AX,DSEG MOV DS,AX MOV DX,300CH ;8255初始化 MOV AL,81H OUT DX,AL LEA SI,BUFF MOV CX,6 NEXT: MOV BYTE PTR[SI],16 INC SI LOOP NEXT CALL SHOW ;将显示缓冲区中内容在LED上一次显示出来 MOV COUNT,0 ;记按下了几位数 NEXT2: CALL SHOW CALL SCAN ;判断是否有按键按下 CMP FLAG,1 JZ OK JMP NEXT2 OK: MOV FLAG,0 MOV POINT,0 MOV DX,3000H ;判断是哪一个键被按下 MOV AL,0FFH OUT DX,AL MOV CH,-1 ;CH用于保存当前被扫描的列号MOV CL,07FH XL: ROL CL,1 INC CH MOV DX,3000H MOV AL,CL .

微机原理及接口技术课程期末考试试题

2010/2011 学年第 2 学期末考试试题(A卷) 课程名称微机原理及接口技术 使用班级: 08060241、08060242、08060441、08060442 一、选择题(共20分,每小题1分) 1.在下列不同进制的数据中,最小的数是___________。 A) 101001B B) 101001BCD C) 52D D) 23H 2.标准的ASCII码由______位二进制代码组成。 A) 4 B) 7 C) 8 D) 9 3.堆栈的工作方式是___________。 A)先进先出 B)后进先出 C)随机读写 D)只能读出不能写入 4. 下面的说法中,___________是正确的。 A)指令周期等于总线周期 B)指令周期大于等于总线周期 C)指令周期是总线周期的两倍 D)指令周期与总线周期之间的关系不确定5. 在8086系统中,在以下地址中可作为段起始地址的为:( ) A) 20100H B) 20102H C) 20104H D) 20108H 6. 8086执行一个总线周期最多可传送( )字节。 A) 1个 B) 2个 C) 3个 D) 4个 7. Reset信号有效后,8086CPU的启动地址为__________。 A)0FFFFFH B)0FFFFH C)00000H D)0FFFF0H 8.下列四条指令中,有几条指令需要使用DX寄存器_________? MUL BX DIV BL IN AX,20H OUT 20H,AL A) 1条 B) 2条 C) 3条 D) 4条

9.在子程序的最后一定要有一条__________指令。 A) HLT B) RET C) IRET D) POP 10.下面指令序列执行后完成的运算,正确的算术表达式应是________________。 MOV AL,BYTE PTR X SHL AL,1 DEC AL MOV BYTE PTR Y,AL A) Y=2X+1 B) X=2Y+1 C) Y=2X-1 D) X=2Y-1 11.14.8086执行POP AX指令时,正确的操作是___________________。 A) AX<--[SP+1][SP],SP<--SP+2 B) AX<--[SP+1][SP],SP<--SP-2 C) SP<--SP+2,AX<--[SP+1][SP] D) SP<--SP-2,AX<--[SP+1][SP] 12. 下列有关I/O端口的描述中,不正确的是_______________。 A)I/O端口从逻辑上讲是被CPU访问的I/O接口电路中的寄存器 B)8086系统对I/O端口的访问只能通过专用的指令 C)从连接形式上讲,I/O端口总是直接与数据总线连接 D)I/O端口可以看作是CPU与外设交换数据的中转站 13. 在中断方式下,外设数据输入到内存的路径是 ( ) A)外设→数据总线→内存 B)外设→数据总线→CPU→内存 C)外设→CPU→DMAC→内存 D)外设→I∕O接口→CPU→内存 14. 8086系统中的中断向量表的作用是____________。 A)存放中断服务程序 B)存放系统引导程序 C)存放中断响应标志 D)存放中断服务程序的入口地址 15. 对8086 CPU而言,中断优先级别最高的是_______________。 A)单步中断 B)除法错,溢出中断,软中断 C)INTR D)NMI 16. 8255A芯片具有三个独立数据端口,只有_______端口具有双向传输方式。 A) A口 B) B口 C) C口 D)以上均可 17.在8253 的6种工作方式中,能够自动重复工作的两种方式是( )。 A)方式1,方式 2 B)方式 2,方式3 C)方式2,方式 4 D)方式 3,方式5 18.在通用可编程并行电路8255A中,用于传输数据的8位I/O端口共有_________。 A) 1个 B)2个 C) 3个 D) 4个 19.实验时,在DEBUG下如果要运行0100:4360段开始的一段程序用的命令为________。 A) D=0100:4360 B) A=0100:4360 C) G=0100:4360 D) Q=0100:4360 20. 汇编实验时要显示2100H:45B6H段开始的一段程序的反汇编命令用_________。 A) E 2100:45B6 B) F 2100:45B6 C) U 2100:45B6 D) N 2100:45B6 二、填空题(共20分,每空1分) 1.微型计算机由_______________、_______________、I/O接口和系统总线组成。

微机原理与接口技术综合性实验指导书

微机原理与接口技术实验 电子发声系统设计 【实验目的】 (1). 掌握8254接口电路的连接方法,懂得分频数据对发生频率的影响。 (2). 掌握应用汇编语言控制8254使扬声器发声的程序设计的方法步骤。【实验仪器】 PC微机一台、TD-PIT+实验系统一套。 【实验内容】 连接电子发声电路,根据实验提供的音乐频率表和时间表,编程控制8254,使其输出并驱动扬声器发出相应的乐曲。编程演奏《友谊地久天长》。 (1) 确认实验系统与PC机的连线已接通。 (2) 设计连接实验电路。 (3)运用PIT端口资源工具(CHECK程序),查看I/O片选端口始地址。 (4)编程并编译、链接。 运行程序,听扬声器发音是否正确。 【程序的数据处理】 DATA SEGMENT FREQ_LIST DW 371,495,495,495,624,556,495,556,624 ;频率表 DW 495,495,624,742,833,833,833,742,624 DW 624,495,556,495,556,624,495,416,416,371 DW 495,833,742,624,624,495,556,495,556,833 DW 742,624,624,742,833,990,742,624,624,495 DW 556,495,556,624,495,416,416,371,495,0 TIME_LIST DB 4, 6, 2, 4, 4, 6, 2, 4, 4 ;时间表 DB 6, 2, 4, 4, 12, 1, 3, 6, 2 DB 4, 4, 6, 2, 4, 4, 6, 2, 4, 4 DB 12, 4, 6, 2, 4, 4, 6, 2, 4, 4 DB 6, 2, 4, 4, 12, 4, 6, 2, 4, 4 DB 6, 2, 4, 4, 6, 2, 4, 4, 12 DATA ENDS 【上机实验报告】 ⒈上机实验所用的设备(型号或规格),实验环境条件。 ⒉简述实验原理,说明操作过程,及数据要求,给出程序的音频及延时输入数据值。 ⒊你设计的电路图是什么。

微机原理实验参考答案(航大)

《微机原理》实验参考答案 实验1 汇编基本指令及顺序程序设计实验 实验2 分支与DOS中断功能调用程序设计实验 实验3 循环结构程序设计实验 实验4 存储器扩展实验 实验5 8259应用编程实验 实验1汇编基本指令及顺序程序设计实验 一、实验目的 1、掌握汇编语言的开发环境和上机过程; 2、掌握DEBUG命令; 3、掌握顺序程序设计方法; 4、掌握寻址方式; 5、理解和掌握汇编基本指令的功能。 二、实验内容 1、设堆栈指针SP=2000H,AX=3000H,BX=5000H;请编一程序段将AX和BX的内容进行交换。要求:用3种方法实现。 答:方法一CODE SEGMENT ASSUME CS:CODE START: MOV AX,3000H MOV BX,5000H MOV CX,AX MOV AX,BX MOV BX,CX CODE ENDS END START 方法二CODE SEGMENT ASSUME CS:CODE START: MOV AX,3000H MOV BX,5000H XCHG AX,BX CODE ENDS END START

方法三CODE SEGMENT ASSUME CS:CODE START: MOV AX,3000H MOV BX,5000H PUSH AX PUSH BX POP AX POP BX CODE ENDS END START 2、分别执行以下指令,比较寄存器寻址,寄存器间接寻址和相对寄存器寻址间的区别。 MOV AX,BX 寄存器寻址,将BX内容送AX MOV AX,[BX] 寄存器间接寻址,将DS:BX内存单元内容送AX MOV AX,10[BX] 寄存器相对寻址,将DS:BX+10内存单元内容送AX 在DEBUG调试模式,用A命令直接编辑相应指令并用T命令单步执行,执行后查询相应寄存器的值并用D命令查内存。 3、已知有如下程序段: MOV AX,1234H MOV CL,4 在以上程序段的基础上,分别执行以下指令: ROL AX,CL AX=2341H ROR AX,CL AX=4123H SHL AX,CL AX=2340H SHR AX,CL AX=0123H SAR AX,CL AX=0123H RCL AX,CL 带进位标志位的循环左移 RCR AX,CL 带进位标志的循环右移 4、设有以下部分程序段: TABLE DW 10H,20H,30H,40H,50H ENTRY DW 3 ┇ LEA BX,TABLE ADD BX,ENTRY MOV AX,[BX] ┇ 要求:(1)将以上程序段补充成为一个完整的汇编程序。

8086软硬件实验报告(微机原理与接口技术上机实验)

实验一实验环境熟悉与简单程序设计 实验目的 (1)掌握DEBUG调试程序的使用方法。 (2)掌握简单程序的设计方法。 实验内容 编程将BH中的数分成高半字节和低半字节两部分,把其中的高半字节放到DH中的低4位(高4位补零),把其中的低半字节放到DL中的低4位(高4位补零)。如: BH=10110010B 则运行程序后 DH=00001011B DL=00000010B 实验准备 (1)熟练掌握所学过的指令。 (2)根据实验内容,要求预先编好程序。 实验步骤 (1)利用DEBUG程序输入、调试程序。 (2)按下表要求不断地修改BH的内容,然后记录下DX的内容。 实验报告 (1)给出程序清单。 (2)详细说明程序调试过程。

程序: CODE SEGMENT START : MOV BH,00111111B MOV AL,BH MOV CL,4 SHR AL,CL MOV DH,AL MOV AL,BH AND AL,00001111B MOV DL,AL MOV CL,0 CODE ENDS END START

实验二简单程序设计 实验目的 (3)掌握DEBUG调试程序的使用方法。 (4)掌握简单程序的设计方法。 实验内容 试编写一个汇编语言程序,要求实现功能:在屏幕上显示:Hello world My name is Li Jianguo 参考程序如下:(有错) data segment out1 db 'Hello world' ax db 'My name is Li Jianguo' data ens code segment assume cs:code;ds:data lea dx,out1 mov ah,2 int 21h mov dl,0ah mov ah,2

微机原理实验一 两个多位十进制数相加实验试做报告

实验一两个多位十进制数相加实验试做报告 【实验目的】 1.熟悉在PC机上建立、汇编、连接、调试和运行汇编语言程序的过程。 2.熟悉和掌握用DEBUG调试程序的方法。 【实验性质】 验证性实验(学时数:3H)。 【实验内容】 将两个多位十制数相加,要求加数和被加数均以ASCII码形式各自顺序存放在以DATAl 和DATA2为首的5个内存单元中(低位在前),结果送回DATAl处。 【实验说明】 汇编语言没有十进制加法指令,ADD/ADC加法指令的运算对象是二进制数,如果要进行BCD码数的加法,需要对结果进行修正。组合BCD码的加法调整指令为DAA,它针对AL寄存器中的组合BCD码数之和进行修正。对于未组合BCD码的加法调整指令为AAA(加法的ASCII 码调整指令),它将存于AL寄存器中的一位ASCII码数加法运算的结果调整为一位拆开型十进制数,仍保留在AL中,如果向高位有进位(AF=1),则进到AH中。 对于多字节的ASCII码相加,只能从最低位开始逐个字节地进行加法操作,并随即进行调整。 参考程序清单(WJSY0.ASM): DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H DATA2 DB 36H,35H,30H,38H,32H DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA MOV DS,AX MOV CX,5 ;计数 MOV SI,0 ;做指针用,因从DATA1的第一个数取数,故SI=0 CLC NEXT: MOV AL,DATA1[SI] ;取被加数给AL ADC AL,DATA2[SI] ;取加数和AL相加 AAA ;未组合十进制数加法调整指令 MOV DATA1[SI],AL ;结果回送至DATA1处 INC SI ;修改SI指向下一位数 LOOP NEXT MOV SI,5 ;因显示是从高位到低位,故SI=5 L: ADD DATA1[SI-1],30H ;还原成ASCII码 MOV DL,DATA1[SI-1] MOV AH,02 ;送显示 INT 21H DEC SI

上微机原理考试A及答案

上微机原理考试A及答案

————————————————————————————————作者:————————————————————————————————日期:

浙江工业大学期终考试命题稿 2012/2013 学年第二学期 课程名称微机原理及应用使用班级信息学院11级教师份数 2 学生份数490 命题人陈朋审核人 每份试卷 2 大张 命题总页数 6 页 需用白纸 命题注意事项: 一、命题稿请用A4纸电脑打印,或用教务处印刷的命题纸,并用黑 墨水书写,保持字迹清晰,页码完整。 二、两份试题必须同等要求,卷面上不要注明A、B字样,由教务处 抽定A、B卷。 三、命题稿必须经学院审核,并在考试前两周交教务处。

浙江工业大学2012/2013学年 第二学期试卷 课程微机原理及应用姓名___________________________ 班级__________________________学号___________________________ 题序一二三四五六七八九十总评计分

一、选择题(2分×10=20分) 1.8086指令系统的指令是(D)。 A、单字节指令 B、双字节指令 C、固定长指令 D、可变长指令 2.8086/8088复位后从(B)地址处开始执行程序。 A、00000H B、FFFF0H C、FFFFFH D、FFF0H 3.DRAM 是指(B) A、随机读写存储器 B、动态随机读写存储器 C、只读存储器 D、可擦可编程的只读存储器 4.8086CPU系统主存储器以( A)为单位编址。 A、字节 B、字 C、双字 D、八字节 5.下列指令中,有语法错误的是( C )。 A、MOV [SI],AL B、IN AL, DX C、MOV [BX+SI],78 D、MOV AX, [1200] 6.现有4K×8位的RAM芯片,它所具有的地址线条数应是( A)条。 A、12 B、13 C、11 D、10 7.下列寻址方式中,段超越前缀不可省略是(B)。 A、DS:[ BX+SI ] B、DS:[ BP+DI ] C、SS:[ BP ] D、DS:[ DI ] 8.程序中连续执行PUSH AX和POP BX两条指令,其功能相当于执行(A)指令。 A、MOV BX,AX B、MOV AX,BX C、XCHG AX,BX D、XCHG BX,AX 9.有一8086系统的中断向量表,在0000H:003CH单元开始依次存放34H、FEH、 00H和F0H四个字节,该向量对应的中断类型码和中断服务程序的入口地址分

微机原理及应用实验报告

微机原理及应用实验报告标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DQQTY-

微机原理及应用实验报告 班级: 姓名: 学号: 中南大学 机电工程学院精密测控实验室

实验二软件程序设计 1.实验目的: 1、掌握MCS-51单片机指令系统及用汇编语言编程技巧; 2、了解和熟悉用MCS-51单片机仿真开发机调试程序的方法。 2.实验内容: 1、编写排序程序并上机调试通过。 已知8031内部RAM60H~69H单元中,依次存放了 FFH,99H,77H,CCH,33H,DDH,88H,BBH,44H,EEH,它们均为无符号数,编程 将它们按递减次序排序,即最大数放在60H中,最小数放在69H中。 2.、编写多字节加法程序并上机调试通过。 8031内部RAM20H~22H单元中,存放了3字节被加数(低字节在前),在2AH~2CH单元中存放3字节加数(低字节在前),求两数之和,并将结 果存入以20H为起始地址的区域中(低字节在前)。 3.实验设备名称、型号: 4.画出软件程序流程图,写出上机调试通过的汇编语言程序清单: 程序1、编写排序程序并上机调试通过。 已知8031内部RAM60H~69H单元中,依次存放了 FFH,99H,77H,CCH,33H,DDH,88H,BBH,44H,EEH,它们均为无符号数,编程 将它们按递减次序排序,即最大数放在60H中,最小数放在69H中。

解:本设计采用冒泡排序法,使用双重循环,并在内循环中进行比较如果合乎从大到小的顺序则不动,否则两两交换,这样比较下去,比较9次 后,最小的那个数就会沉底,在下一次比较时将减少一次比较次数。如 果一次比较完毕,没有发生交换,说明已经按照从大到小的顺序排列 了。则可以退出循环,结束程序。 程序结构框图和程序代码如下:

相关文档
最新文档