半导体工艺中的英语词汇..

半导体工艺中的英语词汇..
半导体工艺中的英语词汇..

A

Abrupt junction 突变结Accelerated testing 加速实验

Acceptor 受主Acceptor atom 受主原子

Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层

Active region 有源区Active component 有源元

Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区

Admittance 导纳Allowed band 允带

Alloy-junction device合金结器件Aluminum(Aluminium)铝

Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化

Ambipolar 双极的Ambient temperature 环境温度

Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器

Analogue(Analog)comparator 模拟比较器Angstrom 埃

Anneal 退火Anisotropic 各向异性的

Anode 阳极Arsenic (AS)砷

Auger 俄歇Auger process 俄歇过程

Avalanche 雪崩Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

B

Background carrier 本底载流子Background doping 本底掺杂

Backward 反向Backward bias 反向偏置

Ballasting resistor 整流电阻Ball bond 球形键合

Band 能带Band gap 能带间隙

Barrier 势垒Barrier layer 势垒层

Barrier width 势垒宽度Base 基极

Base contact 基区接触Base stretching 基区扩展效应

Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢

Bias 偏置Bilateral switch 双向开关

Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫Blocking band 阻挡能带

Blocking contact 阻挡接触Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合Bonding electron 价电子

Bonding pad 键合点Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器Boron 硼

Borosilicate glass 硼硅玻璃Boundary condition 边界条件

Bound electron 束缚电子Breadboard 模拟板、实验板

Break down 击穿Break over 转折

Brillouin 布里渊Brillouin zone 布里渊区

Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合

Burn - in 老化Burn out 烧毁

Buried channel 埋沟Buried diffusion region 隐埋扩散区

C

Can 外壳Capacitance 电容

Capture cross section 俘获截面Capture carrier 俘获载流子

Carrier 载流子、载波Carry bit 进位位

Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳

Cathode 阴极Center 中心

Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿Channel current 沟道电流

Channel doping 沟道掺杂Channel shortening 沟道缩短

Channel width 沟道宽度Characteristic impedance 特征阻抗

Charge 电荷、充电Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒Charge neutrality condition 电中性条件

Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光

Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片

Chip yield 芯片成品率Clamped 箝位

Clamping diode 箝位二极管Cleavage plane 解理面

Clock rate 时钟频率Clock generator 时钟发生器

Clock flip-flop 时钟触发器Close-packed structure 密堆积结构

Close-loop gain 闭环增益Collector 集电极

Collision 碰撞Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接

Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入

Common-mode rejection ratio (CMRR)共模抑制比

Compatibility 兼容性Compensation 补偿

Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造

Compound Semiconductor 化合物半导体Conductance 电导

Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率

Configuration 组态Conlomb 库仑

Conpled Configuration Devices 结构组态Constants 物理常数

Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污

Continuity equation 连续性方程Contact hole 接触孔

Contact potential 接触电势Continuity condition 连续性条件

Contra doping 反掺杂Controlled 受控的

Converter 转换器Conveyer 传输器

Copper interconnection system 铜互连系统Couping 耦合

Covalent 共阶的Crossover 跨交

Critical 临界的Crossunder 穿交

Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享

Current Sense 电流取样Curvature 弯曲

Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

D

Dangling bonds 悬挂键Dark current 暗电流

Dead time 空载时间Debye length 德拜长度

De.broglie 德布洛意Decderate 减速

Decibel (dB)分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级

Deep impurity level 深度杂质能级Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体Degeneracy 简并度

Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度

Density of states 态密度Depletion 耗尽

Depletion approximation 耗尽近似Depletion contact 耗尽接触

Depletion depth 耗尽深度Depletion effect 耗尽效应

Depletion layer 耗尽层Depletion MOS 耗尽MOS

Depletion region 耗尽区Deposited film 淀积薄膜

Deposition process 淀积工艺Design rules 设计规则

Die 芯片(复数dice)Diode 二极管

Dielectric 介电的Dielectric isolation 介质隔离

Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结

Diffusion 扩散Diffusion coefficient 扩散系数

Diffusion constant 扩散常数Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路Dipole domain 偶极畴

Dipole layer 偶极层Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁

Discharge 放电Discrete component 分立元件

Dissipation 耗散Distribution 分布

Distributed capacitance 分布电容Distributed model 分布模型

Displacement 位移Dislocation 位错

Domain 畴Donor 施主

Donor exhaustion 施主耗尽Dopant 掺杂剂

Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度

Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移Drift field 漂移电场

Drift mobility 迁移率Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化Dose 剂量

Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装

Dynamics 动态Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

E

Early effect 厄利效应Early failure 早期失效

Effective mass 有效质量Einstein relation(ship)爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移

Electron affinity 电子亲和势Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心Electron Volt (eV)电子伏

Electrostatic 静电的Element 元素/元件/配件

Elemental semiconductor 元素半导体Ellipse 椭圆

Ellipsoid 椭球Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对

Emitter follower 射随器Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式

Enhancement MOS 增强性MOS Entefic (低)共溶的

Environmental test 环境测试Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement (erfc)余误差函数

Etch 刻蚀Etchant 刻蚀剂

Etching mask 抗蚀剂掩模Excess carrier 过剩载流子

Excitation energy 激发能Excited state 激发态

Exciton 激子Extrapolation 外推法

Extrinsic 非本征的Extrinsic semiconductor 杂质半导体

F

Face - centered 面心立方Fall time 下降时间

Fan-in 扇入Fan-out 扇出

Fast recovery 快恢复Fast surface states 快界面态

Feedback 反馈Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管

Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器

Flat band 平带Flat pack 扁平封装

Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转

Floating gate 浮栅Fluoride etch 氟化氢刻蚀

Forbidden band 禁带Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应Function 函数

G

Gain 增益Gallium-Arsenide(GaAs)砷化钾

Gamy ray r 射线Gate 门、栅、控制极

Gate oxide 栅氧化层Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗

Graded 缓变的Graded (gradual)channel 缓变沟道

Graded junction 缓变结Grain 晶粒

Gradient 梯度Grown junction 生长结

Guard ring 保护环Gummel-Poom model 葛谋-潘模型

Gunn - effect 狄氏效应

H

Hardened device 辐射加固器件Heat of formation 形成热

Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带

Heavy saturation 重掺杂Hell - effect 霍尔效应

Heterojunction 异质结Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子

Hybrid integration 混合集成

I

Image - force 镜象力Impact ionization 碰撞电离

Impedance 阻抗Imperfect structure 不完整结构

Implantation dose 注入剂量Implanted ion 注入离子

Impurity 杂质Impurity scattering 杂质散射

Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道

Infrared 红外的Injection 注入

Input offset voltage 输入失调电压Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连

Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉

International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的

Intrinsic semiconductor 本征半导体Inverse operation 反向工作

Inversion 反型Inverter 倒相器

Ion 离子Ion beam 离子束

Ion etching 离子刻蚀Ion implantation 离子注入

Ionization 电离Ionization energy 电离能

Irradiation 辐照Isolation land 隔离岛

Isotropic 各向同性

J

Junction FET(JFET)结型场效应管Junction isolation 结隔离

Junction spacing 结间距Junction side-wall 结侧壁

L

Latch up 闭锁Lateral 横向的

Lattice 晶格Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动

Life time 寿命linearity 线性度

Linked bond 共价键Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻Light Emitting Diode(LED)发光二极管

Load line or Variable 负载线Locating and Wiring 布局布线

Longitudinal 纵向的Logic swing 逻辑摆幅

Lorentz 洛沦兹Lumped model 集总模型

M

Majority carrier 多数载流子Mask 掩膜板,光刻板

Mask level 掩模序号Mask set 掩模组

Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦

Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间

Megeto - resistance 磁阻Mesa 台面

MESFET-Metal Semiconductor金属半导体FET

Metallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数

Minority carrier 少数载流子Misfit 失配

Mismatching 失配Mobile ions 可动离子

Mobility 迁移率Module 模块

Modulate 调制Molecular crystal分子晶体

Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管

Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增

Modulator 调制Multi-chip IC 多芯片IC

Multi-chip module(MCM)多芯片模块Multiplication coefficient倍增因子

N

Naked chip 未封装的芯片(裸片)Negative feedback 负反馈

Negative resistance 负阻Nesting 套刻

Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性

Normally off/on 常闭/开Numerical analysis 数值分析

O

Occupied band 满带Officienay 功率

Offset 偏移、失调On standby 待命状态

Ohmic contact 欧姆接触Open circuit 开路

Operating point 工作点Operating bias 工作偏置

Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子Optical quenching光猝灭

Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向

Outline 外形Out-of-contact mask非接触式掩模

Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护

Over shoot 过冲Over-voltage protection 过压保护

Overlap 交迭Overload 过载

Oscillator 振荡器Oxide 氧化物

Oxidation 氧化Oxide passivation 氧化层钝化

P

Package 封装Pad 压焊点

Parameter 参数Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡Passination 钝化

Passive component 无源元件Passive device 无源器件

Passive surface 钝化界面Parasitic transistor 寄生晶体管

Peak-point voltage 峰点电压Peak voltage 峰值电压

Permanent-storage circuit 永久存储电路Period 周期

Periodic table 周期表Permeable - base 可渗透基区

Phase-lock loop 锁相环Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导Photo diode 光电二极管

Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚

Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管

Plasma 等离子体Plezoelectric effect 压电效应

Poisson equation 泊松方程Point contact 点接触

Polarity 极性Polycrystal 多晶

Polymer semiconductor聚合物半导体Poly-silicon 多晶硅

Potential (电)势Potential barrier 势垒

Potential well 势阱Power dissipation 功耗

Power transistor 功率晶体管Preamplifier 前置放大器

Primary flat 主平面Principal axes 主轴

Print-circuit board(PCB)印制电路板Probability 几率

Probe 探针Process 工艺

Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制

punchthrough 穿通Push-pull stage 推挽级

Q

Quality factor 品质因子Quantization 量子化

Quantum 量子Quantum efficiency量子效应

Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英

R

Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通

Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管

Reciprocal lattice 倒核子Recovery time 恢复时间

Rectifier 整流器(管)Rectifying contact 整流接触

Reference 基准点基准参考点Refractive index 折射率

Register 寄存器Registration 对准

Regulate 控制调整Relaxation lifetime 驰豫时间

Reliability 可*性Resonance 谐振

Resistance 电阻Resistor 电阻器

Resistivity 电阻率Regulator 稳压管(器)

Relaxation 驰豫Resonant frequency共射频率

Response time 响应时间Reverse 反向的

Reverse bias 反向偏置

S

Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)

Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的

Scaled down 按比例缩小Scattering 散射

Schockley diode 肖克莱二极管Schottky 肖特基

Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶Segregation 分凝

Selectivity 选择性Self aligned 自对准的

Self diffusion 自扩散Semiconductor 半导体

Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感

Settle time 建立时间Sheet resistance 薄层电阻

Shield 屏蔽Short circuit 短路

Shot noise 散粒噪声Shunt 分流

Sidewall capacitance 边墙电容Signal 信号

Silica glass 石英玻璃Silicon 硅

Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅

Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅

Siliver whiskers 银须Simple cubic 简立方

Single crystal 单晶Sink 沉

Skin effect 趋肤效应Snap time 急变时间

Sneak path 潜行通路Sulethreshold 亚阈的

Solar battery/cell 太阳能电池Solid circuit 固体电路

Solid Solubility 固溶度Sonband 子带

Source 源极Source follower 源随器

Space charge 空间电荷Specific heat(PT)热

Speed-power product 速度功耗乘积Spherical 球面的

Spin 自旋Split 分裂

Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错

Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间

Stress 应力Straggle 偏差

Sublimation 升华Substrate 衬底

Substitutional 替位式的Superlattice 超晶格

Supply 电源Surface 表面

Surge capacity 浪涌能力Subscript 下标

Switching time 开关时间Switch 开关

T

Tailing 扩展Terminal 终端

Tensor 张量Tensorial 张量的

Thermal activation 热激发Thermal conductivity 热导率

Thermal equilibrium 热平衡Thermal Oxidation 热氧化

Thermal resistance 热阻Thermal sink 热沉

Thermal velocity 热运动Thermoelectricpovoer 温差电动势率

Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值

Thyistor 晶闸管Transconductance 跨导

Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的

Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物

Transition probability 跃迁几率Transition region 过渡区

Transport 输运Transverse 横向的

Trap 陷阱Trapping 俘获

Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发

Trim 调配调整Triple diffusion 三重扩散

Truth table 真值表Tolerahce 容差

Tunnel(ing)隧道(穿)Tunnel current 隧道电流

Turn over 转折Turn - off time 关断时间

U

Ultraviolet 紫外的Unijunction 单结的

Unipolar 单极的Unit cell 原(元)胞

Unity-gain frequency 单位增益频率Unilateral-switch单向开关

V

Vacancy 空位Vacuum 真空

Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相

Varactor 变容管Varistor 变阻器

Vibration 振动Voltage 电压

W

Wafer 晶片Wave equation 波动方程

Wave guide 波导Wave number 波数

Wave-particle duality 波粒二相性Wear-out 烧毁

Wire routing 布线Work function 功函数

Worst-case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

工艺常用英语词汇

3.工艺常用英语词汇 探伤仪flaw detector 探伤flaw detection/crack detection 不合格 not up to the (required) standard/below the mark 合格qualified/up to standard 合格证 certificate of inspection/certificate of quality 一级片class Ⅰ typ e film 二级片class Ⅱ type film 三级片class Ⅲ type film 试压流体test fluid 试验证书test certificate 试压工作队testing spread 硬度试验test of hardness testing 试压准备test preparation 试验压力test pressure 试压泵 test pump 试焊口test weld 强度试压strength test 严密性试压proof test 通径试验drift test 吹扫purging 压力表manometer/pressure gauge

压力表式温度计pressure-spring thermometer 压力开关pressure switch 压力阀pressure valve 精度系数quality coefficient 精度等级accuracy class/precision class 精度检查accuracy checking/alignment test 精度控制accuracy control 精度要求required precision 稳压试验standup pressure test 对管工stabber 施工工作队spread 盲板blank 临时盲板temporary blank 临时措施temporary 水压试验test of water pressure 返工remade 返修rework 截面section 管沟pipe trench 管沟截面尺寸section dimension of pipe trench 管沟开挖质量quality of pipe trench 开挖机械excavation machinery

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

回流焊工艺常用中英文术语

回流焊工艺常用中英文术语 1. Solder Paste Technology(焊膏工艺) Solder Powder ( 锡粉) 休息再来接着说。 Solder Paste Rheology(锡膏流变学) Solder Paste Composition & Manufacturing(锡膏成分和制造) 2. Fundamentals of Solders and Soldering(焊料及焊接基础知识) Soldering Theory(焊接理论) Microstructure and Soldering(显微结构及焊接) Effect of Elemental Constituents on Wetting(焊料成分对润湿的影响) Effect of Impurities on Soldering(杂质对焊接的影响) 3. SMT Problems Occurred Prior to Reflow(回流前SMT问题) Flux Separation(助焊剂分离) Paste Hardening(焊膏硬化) Poor Stencil Life(网板寿命问题) Poor Print Thickness(印刷厚度不理想) Poor Paste Release From Squeegee(锡膏脱离刮刀问题) Smear(印锡模糊) Insufficiency(印锡不足) Needle Clogging(针孔堵塞) Slump(塌落) Low Tack(低粘性) Short Tack Time (粘性时间短) 4. SMT Problems Occurred During Reflow(回流过程中的SMT问题) Cold Joints(冷焊) Nonwetting(不润湿) Dewetting(反润湿) Leaching(浸析) Interllics(金属互化物) Tombstoning(立碑) Skewing(歪斜) Wicking(焊料上吸) Bridging(桥连) Voiding(空洞) Opening(开路) Solder Balling(锡球) Solder Beading(锡珠) Spattering(飞溅)

工艺品的英语词汇

工艺品的英语词汇 Supplementary Vocabulary for Arts & Craft 1.Chinese arts and crafts中国工艺品 2.porcelain crafts陶瓷工艺品 3.wooden crafts木制工艺品 4.sculpture crafts雕塑工艺品 5.clay carvings crafts泥塑工艺品 6.mental craft金属工艺品 7.handcrafts手工工艺品 8.plastic crafts塑料工艺品 9.crystal crafts水晶工艺品 10.paper crafts纸制工艺品 11.candle crafts蜡烛工艺品 12.bamboo crafts竹制工艺品 13.azalea root carved article杜鹃花根雕刻 14.stone carvings石刻 15.Jade carvings玉刻 16.glassware玻璃制品 17.jewellery box首饰盒子 18.brassware铜制品 19.small hangings小挂件 20.drawn work抽纱制品 21.machine embroidered table-cloth机绣台布 22.machine embroidered bed sheet机绣床单 23.machine embroidered pillow case机绣枕套 24.machine embroidered cushion机绣靠背垫 25.machine embroidered lace机绣花边 26.quilted article缝制品 27.polyester stain quilted embroidery bedspread丽光缎绣花缝床单 28.printing bedcover印花被套 29.printing tea-towel印花茶巾 30.printing apron印花围裙 31.hand knotted article手工编织品 32.crocheted curtain钩针窗帘 https://www.360docs.net/doc/874972501.html,undry basket洗衣筐 34.shopping basket菜筐 35.flower basket花篮 36.hanging flower basket吊篮 37.fruit tray水果盘 38.foodstuff box食品盒

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

工艺单常用单词

服装工艺常用英语词汇 服装英语词汇A ADD PINCES 冒顶加褶 ARMHOLE 挂肩/袖笼 ARMHOLE HARF CIRCUMFERENCE 挂肩弯量 APPLIQUé嵌花贴花缝花 ALL OVER PRINT/AOP满印 APPLICATION贴补 ACID WASH酸洗 APPLICATION PIQUET网眼贴布 ASSORTMENT搭配 ARTICLE CODE款号 ARTICLE DESCRIPTION 款式描述 AS PER NEW SIZE CHARTS 见尺码单 ANTI-THEFT SYSTEM防盗标 abrasion resistance 耐磨性 accessory 辅料 acetate 醋酸 acid dyes 酸性染料 across back(4”BLW CB) 后沃(后中下4”度)across front(5”BLW HPS) 前沃(肩高点下5”)across measure 横量 acrylic 腈纶 adhesive / fusible interlining 粘衬 after finish / after treatment 后整理 air-jet texturing yarn 空气变形丝 angora cashmere over coating 兔羊绒大衣呢anti-fungus 防霉 anti-pilling 抗起球 antique brass coating 镀青古铜 anti-static 抗静电 antistatic finish 防静电处理 apparel 成衣 appealing look 吸引人的外表 appearance retention 外观持久性 armhole 夹圈 assembling of front & back part 前后幅合并assembling section 合并部分 attach collar 上领 attach label 上商标 attachment (车缝)附件 AZO free / NO AZO 环保染色 AZO free dyes 非偶氮染料 服装英语词汇B BACK HANGTAG BARCODE STICHERS 吊牌贴BARCODE STICKER条码贴 BEAD珠 BIB围嘴BINDING 包边 BLU STUDS 蓝色烫石 BOW 蝴蝶结 BOTTOM LEG CIRCUMFERENCE 裤口弯量 BEIGE米色 BABY OVERLOCK小锁线 BINDING拉边 BOTTOM PART BEGIN UNDER THE STITCHING拼接/ CUT AND SEWN拼接/ SLEEVE BEGIN UNDER THE STITCHING 拼接袖 BOTTON INTO EMBROIDERY 绣花中的扣子 BULK FABRIC大货布 BUCKLE LOOP扣环,钮圈 BICEPT袖肥 BUST胸围 BOTTOM LEG SLIT HEIGHT BORDER EXCL. 裤腿开口高不含拉边 back across 后背宽 back cover front 后搭前 backless dress 露背装 back mid-armhole 后背宽 back neck drop 后领深 back neck width 后领阔 back rise(below W/B) 后浪腰下起度 back stitch 返针,回针 bar coded sticker 条形码贴纸 bartack 套结,打枣(牛仔上用,用于加固)bartacker 打枣车,套结缝纫机 basic dyes 碱性染料 baste 假缝 basting 挑缝 batik 蜡染 bearer 袋衬 bearer & facing 袋衬袋贴 bedford cord 坑纹布,经条灯心绒 beige 米色 bell bottom 喇叭裤脚 bellows pocket 风琴袋 belt 腰带 belt-loop 裤耳 bias cut 斜纹裁,纵纹裁 bifurcate 分叉 big twill polyester peach 宽斜纹桃皮绒 binder 包边蝴蝶,滚边蝴蝶 binding 包边 binding of SLV. opening R折 binding/bound 滚条

材料专业英文词汇

材料专业英文词汇(全) 来源:李硕的日志 化学元素(elements) 化学元素,简称元素,是化学元素周期表中的基本组成,现有113种元素,其中原子序数从93到113号的元素是人造元素。 物质(matter) 物质是客观实在,且能被人们通过某种方式感知和了解的东西,是元素的载体。 材料(materials) 材料是能为人类经济地、用于制造有用物品的物质。 化学纤维(man-made fiber, chemical fiber) 化学纤维是用天然的或合成的高聚物为原料,主要经过化学方法加工制成的纤维。可分为再生纤维、合成纤维、醋酯纤维、无机纤维等。 芯片(COMS chip) 芯片是含有一系列电子元件及其连线的小块硅片,主要用于计算机和其他电子设备。 光导纤维(optical waveguide fibre) 光以波导方式在其中传输的光学介质材料,简称光纤。 激光(laser) (light amplification by stimulated emission of radiation简写为:laser) 激光是利用辐射计发光放大原理而产生的一种单色(单频率)、定向性好、干涉性强、能量密度高的光束。 超导(Superconduct) 物质在某个温度下电阻为零的现象为超导,我们称具有超导性质的材料为超导体。 仿生材料(biomimetic matorials) 仿生材料是模仿生物结构或功能,人为设计和制造的一类材料。 材料科学(materials science) 材料科学是一门科学,它从事于材料本质的发现、分析方面的研究,它的目的在于提供材料结构的统一描绘,或给出模型,并解释这种结构与材料的性能之间的关系。 材料工程(materials engineering) 材料工程属技术的范畴,目的在于采用经济的、而又能为社会所接受的生产工艺、加工工艺控制材料的结构、性能和形状以达到使用要求。 材料科学与工程(materials science and engineering) 材料科学与工程是研究有关材料的成份、结构和制造工艺与其性能和使用性能间相互关系的知识及这些知识的应用,是一门应用基础科学。材料的成份、结构,制造工艺,性能及使用性能被认为是材料科学与工程的四个基本要素。

最完整的计算机中的常用英语单词大全

A Active-matrix主动距陈 Adapter cards适配卡 Advanced application高级应用Analytical graph分析图表 Analyze分析 Animations动画 Application software 应用软件Arithmetic operations算术运算 Audio-output device音频输出设备Access time存取时间 access存取 accuracy准确性 ad network cookies广告网络信息记录软件 Add-ons附软件 Address地址 Agents代理 Analog signals模拟信号 Applets程序 Asynchronous communications port异步通信端口 Attachment附件 B Bar code条形码 Bar code reader条形码读卡器 Basic application基础程序 Binary coding schemes二进制译码方案Binary system二进制系统 Bit比特 Browser浏览器 Bus line总线 Backup tape cartridge units备份磁带盒单元 Bandwidth带宽 Bluetooth蓝牙 Broadband宽带 Browser浏览器 Business-to-business企业对企业电子商务 Business-to-consumer企业对消费者Bus总线C Cables连线 Cell单元箱 Chain printer链式打印机 Character and recognition device字符标识识别设备 Chart图表 Chassis支架 Chip芯片 Clarity清晰度 Closed architecture封闭式体系结构Column列 Combination key结合键 computer competency计算机能力connectivity连接,结点 Continuous-speech recognition system 连续语言识别系统 Control unit操纵单元 Cordless or wireless mouse无线鼠标Cable modems有线调制解调器 carpal tunnel syndrome腕骨神经综合症CD-ROM可记录光盘 CD-RW可重写光盘 CD-R可记录压缩光盘 Channel信道 Chat group谈话群组chlorofluorocarbons(CFCs) ]氯氟甲烷Client客户端 Coaxial cable同轴电缆 cold site冷战 Commerce servers商业服务器Communication channel信道Communication systems信息系统Compact disc rewritable Compact disc光盘 computer abuse amendments act of 19941994计算机滥用法案 computer crime计算机犯罪 computer ethics计算机道德 computer fraud and abuse act of 1986计算机欺诈和滥用法案 computer matching and privacy protection act of 1988计算机查找和隐

工业设计常用工艺词汇中英文对照

工业设计常用工艺词汇中英文对照 整理:工业设计课吕健安 目录 一、表面处理技术英文词汇 (1) 二、表面处理不良英文词汇 (3) 三、工业设计英文词汇 (4) 四、模具制造和五金加工英文词汇 (8) 五、模具塑胶缺陷英语词汇 (10) 六、模具、塑胶原料英语词汇 (11) 七、常用印刷工艺英语词汇 (12) 一、表面处理技术英文词汇 1. 高亮光hi-glossy 2. 亚光honed(石材);matte(瓷砖);Dull 3. 亮光glossy;shine 4. 半光(亚光)semi dull 5. 全消光full dull 6. 亚光黑色Matte black 7. 变色color changing 8. 拉丝Brushed 9. 金属拉丝brushed metal 10. 光面Smooth surface 11. 喷油spray 12. 电镀electroplate 13. 喷砂处理sand blast 14. 金属喷镀法metallikon 15. 真空涂膜metallizing 16. 表面蚀刻etching 17. 阳极氧化处理anodizing 18. 蚀纹erode grain 19. 抛光polishing

20. 磨砂玻璃frosted glass;depolished glass 21. 磨砂milled(表面的磨砂效果) 22. 磨砂铜brushed brass 23. 磨砂面纯银效果silver brushed finish 24. 倒角chamfer 25. 圆角round corner 26. 轮廓contour 27. 样板,仿形copy 28. 设计,方案design 29. 表面,外观face 30. 利边sharp edge 31. 金属氧化Metal oxide s 32. 着色处理color coated treatment 33. 丝印silk-screen 34. 菲林film 35. 工艺technics 36. 外观appearance; Exterior; appearance; face 37. 水转印water transfer printing 38. 水转印膜water transfer printing film 39. 纹理Textures 40. 木纹wood grain 41. UV油UV oil 42. 铬chrome 43. 镀铬chromate treatment;chrome-plating;chroming;Chromium-plating 44. 镀三价铬(枪色) Plating of trivalent chromium 45. 枪色rifle color coating;Gun color 46. 深色dark color 47. 浅色light color 48. 激光雕刻Laser engraving 49. 双面激光雕刻double-sided laser engraving 50. 背面back 51. 装饰ornamental;decorate;Decorative 52. 透明红色Transparent red 53. 镜片lens;Optic 54. 模内抛光Mold polishing 55. 印刷printing 56. 印刷油墨printing ink 57. 丝网screen mesh

电子信息工程专业英语词汇(精华整理版)

transistor n 晶体管 diode n 二极管semiconductor n 半导体 resistor n 电阻器 capacitor n 电容器 alternating adj 交互的 amplifier n 扩音器,放大器integrated circuit 集成电路 linear time invariant systems 线性时不变系统voltage n 电压,伏特数 tolerance n 公差;宽容;容忍condenser n 电容器;冷凝器dielectric n 绝缘体;电解质electromagnetic adj 电磁的 adj 非传导性的 deflection n偏斜;偏转;偏差 linear device 线性器件 the insulation resistance 绝缘电阻 anode n 阳极,正极 cathode n 阴极 breakdown n 故障;崩溃 terminal n 终点站;终端,接线端emitter n 发射器 collect v 收集,集聚,集中insulator n 绝缘体,绝热器oscilloscope n 示波镜;示波器 gain n 增益,放大倍数 forward biased 正向偏置 reverse biased 反向偏置 P-N junction PN结 MOS(metal-oxide semiconductor)金属氧化物半导体 enhancement and exhausted 增强型和耗尽型 integrated circuits 集成电路 analog n 模拟 digital adj 数字的,数位的horizontal adj, 水平的,地平线的vertical adj 垂直的,顶点的amplitude n 振幅,广阔,丰富attenuation n衰减;变薄;稀薄化multimeter n 万用表 frequency n 频率,周率 the cathode-ray tube 阴极射线管 dual-trace oscilloscope 双踪示波器 signal generating device 信号发生器 peak-to-peak output voltage 输出电压峰峰值sine wave 正弦波 triangle wave 三角波 square wave 方波 amplifier 放大器,扩音器 oscillator n 振荡器 feedback n 反馈,回应 phase n 相,阶段,状态 filter n 滤波器,过滤器 rectifier n整流器;纠正者 band-stop filter 带阻滤波器 band-pass filter 带通滤波器 decimal adj 十进制的,小数的hexadecimal adj/n十六进制的 binary adj 二进制的;二元的octal adj 八进制的 domain n 域;领域 code n代码,密码,编码v编码 the Fourier transform 傅里叶变换 Fast Fourier Transform 快速傅里叶变换microcontroller n 微处理器;微控制器assembly language instrucions n 汇编语言指令 chip n 芯片,碎片 modular adj 模块化的;模数的 sensor n 传感器 plug vt堵,塞,插上n塞子,插头,插销coaxial adj 同轴的,共轴的 fiber n 光纤relay contact 继电接触器 single instruction programmer 单指令编程器 dedicated manufactures programming unit 专 供制造厂用的编程单元 beam n (光线的)束,柱,梁 polarize v(使)偏振,(使)极化 Cathode Ray Tube(CRT)阴极射线管 neuron n神经元;神经细胞 fuzzy adj 模糊的 Artificial Intelligence Shell 人工智能外壳程序 Expert Systems 专家系统 Artificial Intelligence 人工智能 Perceptive Systems 感知系统 neural network 神经网络 fuzzy logic 模糊逻辑 intelligent agent 智能代理 electromagnetic adj 电磁的 coaxial adj 同轴的,共轴的 microwave n 微波 charge v充电,使充电 insulator n 绝缘体,绝缘物 nonconductive adj非导体的,绝缘的 antenna n天线;触角 modeling n建模,造型 simulation n 仿真;模拟 prototype n 原型 array n 排队,编队 vector n 向量,矢量 wavelet n 微波,小浪 sine 正弦cosine 余弦 inverse adj倒转的,反转的n反面;相反v 倒转 high-performance 高精确性,高性能 two-dimensional 二维的;缺乏深度的 three-dimensional 三维的;立体的;真实的 object-oriented programming面向对象的程序 设计 spectral adj 光谱的 attenuation n衰减;变薄;稀释 distortion n 失真,扭曲,变形 wavelength n 波长 refractive adj 折射的 ATM 异步传输模式Asynchronous Transfer Mode ADSL非对称用户数字线Asymmetric digital subscriber line VDSL甚高速数字用户线very high data rate digital subscriber line HDSL高速数据用户线high rate digital subscriber line FDMA频分多址(Frequency Division Multiple Access) TDMA时分多址(Time Division Multiple Access) CDMA同步码分多址方式(Code Division Multiple Access) WCDMA宽带码分多址移动通信系统(Wideband Code Division Multiple Access) TD-SCDMA(Time Division Synchronous Code Division Multiple Access)时分同步码分多址 SDLC(synchronous data link control)同步数据 链路控制 HDLC(high-level data link control)高级数据链路 控制 IP/TCP(internet protocol /transfer Control Protocol)网络传输控制协议 ITU (International Telecommunication Union) 国际电信联盟 ISO国际标准化组织(International Standardization Organization); OSI开放式系统互联参考模型(Open System Interconnect) GSM全球移动通信系统(Global System for Mobile Communications) GPRS通用分组无线业务(General Packet Radio Service) FDD(frequency division duplex)频分双工 TDD(time division duplex)时分双工 VPI虚路径标识符(Virtual Path Identifier); ISDN(Integrated Services Digital Network)综 合业务数字网 IDN综合数字网(integrated digital network) HDTV (high definition television)高清晰度电视 DCT(Discrete Cosine Transform)离散余弦变换 VCI(virtual circuit address)虚通路标识 MAN城域网Metropolitan area networks LAN局域网local area network WAN广域网wide area network 同步时分复用STDM Synchronous Time Division Multiplexing 统计时分复用STDM Statistical Time Division Multiplexing 单工传输simplex transmission 半双工传输half-duplex transmission 全双工传输full-duplex transmission 交换矩阵Switching Matrix 电路交换circuit switching 分组交换packet switching 报文交换message switching 奇偶校验parity checking 循环冗余校验CRC Cyclic Redundancy Check 虚过滤Virtual filter 数字滤波digital filtering 伪随机比特Quasi Random Bit 带宽分配Bandwidth allocation 信源information source 信宿destination 数字化digitalize 数字传输技术Digital transmission technology 灰度图像Grey scale images 灰度级Grey scale level 幅度谱Magnitude spectrum 相位谱Phase spectrum 频谱frequency spectrum 智能设备Smart Device 软切换Soft handover 硬切换Hard Handover 相干检测Coherent detection 边缘检测Edge detection 冲突检测collision detection 业务集合service integration 业务分离/综合service separation/ integration 网络集合network integration 环形网Ring networks 令牌环网Token Ring network 网络终端Network Terminal 用户终端user terminal 用户电路line circuit 电路利用率channel utilization(通道利用率) 相关性coherence 相干解调coherent demodulation 数字图像压缩digital image compression 图像编码image encoding 有损/无损压缩lossy/lossless compression 解压decompression 呼叫控制Call Control 误差控制error control 存储程序控制stored program control 存储转发方式store-and-forward manner 语音\视频传输voice\video transmission 视频点播video-on-demand(VOD) 会议电视Video Conference 有线电视cable television 量化quantization 吞吐量throughput 话务量traffic 多径分集Multipath diversity 多媒体通信MDM Multimedia Communication 多址干扰Multiple Access Interference 人机交互man machine interface 交互式会话Conversational interaction

化工装置常用英语词汇对照

化工装置常用英语词汇对照 一概论 introduction 方案(建议书) proposal 可行性研究 feasibility study 方案设计concept design 工艺设计process design 基础设计basic design 详细设计detail design 开工会议kick-off meeting 审核会议review meeting 外商投资foreign investment 中外合资joint venture 中外合营joint venture 补偿贸易compensation trade 合同合同附件 contract 卖方vendor 买方buyer 顾客client 承包商contractor 工程公司company 供应范围scope of supply

生产范围production scope 生产能力production capacity 项目project 界区battery limit 装置plant 公用工程utilities 工艺流程图 process flow diagram 工艺流程方块图process block diagram 管道及仪表流程图 piping and instrument drawing 物料及热量平衡图 mass & heat balance diagram 蒸汽及冷凝水平衡图steam & condensate balance diagram 设备布置图 equipment layout 设备表equipment list 成品(产品) product(final product) 副产品by-product 原料raw-material 设计基础数据basic data for design 技术数据technical data 数据表data sheet 设计文件design document 设计规定design regulation 现场服务site service

工艺专业英语词汇

1.项目建议概况 资格qualification 预备资格prequalification 商务条款与条件commercial terms and condition 商务commercial 技术technical 技术说明technical specification 建议书proposal book 资料费information price 估价estimated price 报价quoted price 报价书quotation 2报价资料 工艺说明process description 工艺特点process feature 经验记载experience record 工艺特性process performance 设备表equipment list 说明规定规格specification 简要说明short specification 条件图sketch drawing 流程图flow diagram 平衡图balance diagram 方框图block diagram P&I 图P&I diagram 单线图single line diagram 布置图layout 平面布置图plot plan 总图general plot plan 附件appendix 资料文件document 3.合同技术用语 保证guarantee 性能保证performance guarantee 时间保证time guarantee 交货保证delivery guarantee 建造保证workmanship guarantee 生产能力capacity 质量quality 消耗量consumption 原材料feedstock 原料raw material

相关文档
最新文档