最新数字电子技术基础电子教案——第2章 逻 辑 门 电 路

最新数字电子技术基础电子教案——第2章  逻 辑 门 电 路
最新数字电子技术基础电子教案——第2章  逻 辑 门 电 路

第2章逻辑门电路

2.2 基本逻辑门电路

在数字系统中,大量地运用着执行基本逻辑操作的电路,这些电路称为基本逻辑电路或门电路。早期的门电路主要由继电器的触点构成,后来采用二极管、三极管,目前则广泛应用集成电路。

2.2.1 三种基本门电路

1. 二极管与门电路

实现“与”逻辑关系的电路叫做与门电路。由二极管组成的与门电路如图2.5(a)所示,图2.5所示(b)为其逻辑符号。图中A、B为信号的输入端,Y 为信号的输出端。

图2.5 二极管与门

对二极管组成的与门电路分析如下。

(1)A、B都是低电平uY≈0V

(2)A是低电平,B是高电平uY≈0V

(3)A是高电平,B是低电平uY≈0V

(4)A、B都是高电平uY≈5V

从上述分析可知,该电路实现的是与逻辑关系,即“输入有低,输出为低;输入全高,输出为高”,所以,它是一种与门。

2. 二极管或门电路

实现或逻辑关系的电路叫做或门电路。由二极管组成的或门电路如图 2.6所示,其功能分析如下。

图2.6 二极管或门

(1)A、B都是低电平uY=0V

(2)A是低电平,B是高电平uY≈5V

(3)A是高电平,B是低电平uY≈5V

(4)A、B都是高电平uY≈5V

通过上述分析,该电路实现的是或逻辑关系,即“输入有高,输出为高;输入全低,输出为低”,所以,它是一种或门。

3. 三极管非门

实现非逻辑关系的电路叫做非门电路。因为它的输入与输出之间是反相关系,故又称为反相器,其电路如图2.7所示。

图2.7 三极管反相器

2.2.2 DTL与非门

采用二极管门电路和三极管反相器,可组成与非门和或非门扩大逻辑功能,这种电路应用非常广泛。

DTL与非门电路是由二极管与门和三极管反相器串联而成的,其电路图及逻辑符号分别如图2.8(a)和图2.8(b)所示。

图2.8 DTL与非门

当输入端A、B都是高电平时,VD1、VD2均截止,而VD3、VD4和三极管导通,注入三极管的基极电流足够大,三极管饱和导通,输出低电平,uY=0V,在两个输入端A、B中有一个为低电平时,VD3、VD4和三极管均截止,输出高电平,uY=VCC。可见此逻辑门能实现与非逻辑关系。

2.3 TTL 逻辑门电路

TTL门电路是晶体管-晶体管逻辑(Transistor-Transistor Logic)门电路的简称,这种电路由于其输入级和输出级均采用晶体三极管而得名。

按照国际通用标准,根据工作温度不同,TTL电路分为54系列(-55℃~125℃)和74系列(0℃~70℃);根据工作速度和功耗不同,TTL电路又分为标准系列、高速(H)系列、肖特基(S)系列和低功耗肖特基(LS)系列。

2.3.1TTL与非门的工作原理

1. TTL与非门的电路结构

TTL与非门的基本电路如图2.9(a)所示,它由输入级、中间级和输出级三部分组成。

图2.9 基本TTL与非门电路及V1管的等效电路

2. TTL与非门的工作原理

(1)当A、B两端有一个输入为低电平0.3V时,V1的发射结导通,其基极电压等于输入低电压加上发射结正向压降。

V2、V5都截止

V3和V4导通

输出电压为:3.6V

实现了“输入有低,输出为高”的逻辑关系。

2)当A、B两端均输入高电平3.6V时,V2、V5饱和导通,输出为低电平,即uo≈UCES≈0.3V

V1处于发射结和集电结倒置使用的放大状态。

uC2=UCES2+uB5=0.3+0.7=1.0V

由于uB4=uC2=1.0V,作用于V3和V4的发射结的串联支路的电压为:

uC2-uO=1.0-0.3=0.7V

所以,V3和V4均截止。此时,电路实现了“输入全高,输出为低”的逻辑关系。

2.4 其他类型的TTL门电路

将两个门的输出端并联以实现与逻辑的功能,把这种连接方式称为“线与”。如果将两个门电路的输出端连接在一起,如图2.18所示。当一个门的输出处于高电平,而另一个门的输出为低电平时,将会产生很大的电流,有可能导致器件损坏,无法形成有用的线与逻辑关系。

图2.18推拉式输出级并联的情况

2.4.1 集电极开路与非门(OC门)

集电极开路与非门是将推拉式输出级改为集电极开路的三极管结构,做成集电极开路输出的门电路(Open Collector Gate),简称为OC门,其电路如图2.19(a)所示。

图2.19 OC与非门的电路和图形符号

将OC门输出连在一起时,再通过一个电阻接外电源,这样可以实现“线与”逻辑关系。只要电阻的阻值和外电源电压的数值选择得当,就能做到既保证输出的高、低电平符合要求,而且输出三极管的负载电流又不至于过大。而且输出三极管的负载电流又不至于过大。两个OC门并联时的连接方式如图2.20所示。

图2.20 OC门输出并联的接法及逻辑图

在图2.21中表示出“线与”电路中OC门输出高电平的情况,假定n个OC 门连接成“线与”逻辑,带m个与非门负载。当所有OC门都处于截止状态时,“线与”后输出为高电平。

图2.21“线与”电路中OC门输出高电平的情况

OC门除了可以实现多门的线与逻辑关系外,还可用于直接驱动较大电流的负载,如继电器、脉冲变压器、指示灯等,也可以用来改变TTL电路输出的逻辑电平,以便与逻辑电平不同的其他逻辑电路相连接。

2.4.2三态门(TSL门)

为保持推拉式输出级的优点,还能作线与连接,人们又开发了一种三态与非门,它的输出除了具有一般与非门的两种状态外,还可以呈现高阻状态,或称开路状态、禁止状态。

一个简单的三态门的电路如图2.23(a)所示,图2.23(b)所示为它的逻辑符号,它是由一个与非门和一个二极管构成的,EN为控制端,A、B为数据输入端。

图2.23 三态与非门电路

图2.23所示电路中,当EN=1时电路为工作状态,所以称为控制端高电平有效。三态门的控制端也可以是低电平有效,即EN为低电平时,三态门为工作状态;EN为高电平时,三态门为高阻状态。其电路图及逻辑符号如图2.24所示。

图2.24 控制端为低电平有效的三态门

三态门的应用比较广泛,下面举例说明三态门的3种应用。电路图如图2.25所示。

作多路开关

信号双向传输

构成数据总线

图2.25 三态门三种应用的连接方式

2.8 门电路在实际应用中应注意的问题

2.8.1多余输入端的处理

在使用集成门电路时,如果输入信号数小于门的输入端数,就有多余输入端。一般不让多余的输入端悬空,以防止干扰信号引入。对多余输入端的处理,以不改变电路工作状态及稳定可靠为原则。

对于TTL与非门,通常将多余输入端通过1kΩ的电阻R与电源+VCC相连;也可以将多余输入端与另一接有输入信号的输入端连接。这两种方法如图 2.34所示。TTL与门多余输入端的处理方法和与非门完全相同。

图2.34 TTL与非门多余输入端的处理方法

对于TTL或非门,则应该把多余输入端接地,或把多余输入端与另一个接有输入信号的输入端相接。这两种方法如图2.35所示。TTL或门多余输入端的处理方法和或非门完全相同。

图2.35 TTL或非门多余输入端的处理方法

对于CMOS电路,多余的输入端必须依据相应电路的逻辑功能决定是接在正电源VDD上(与门、与非门)或是与地相接(或门、或非门)。一般不宜与使用的输入端并联使用,因为输入端并联时将使前级的负载电容增加,工作速度下降,动态功耗增加。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础试题及答案

数字电子技术基础试题 及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.有一数码,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十 进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ? ?+??? ? ?++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为()V ,输出低电平为()V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. Y 3 =(AB )。 12. 13 二、分) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

数字电子技术基础

《数字电子技术基础》课程学习指导资料 编写贾绍芝 适用专业:电气工程及其自动化 适用层次:专升本(业余) 四川大学网络教育学院 二零零三年十一月

《数字电子技术基础》课程学习指导资料 编写:贾绍芝 审稿(签字): 审批(主管教学负责人签字): 本课程学习指导资料根据该课程教学大纲的要求,参照现行采用教材《电子技术基础—数字部分第四版》(康华光主编,高等教育出版社,2000年)以及课程学习光盘,并结合远程网络业余教育的教学特点和教学规律进行编写,适用于电气工程及其自动化专科升本科学生。 第一部分课程的学习目的及总体要求 一、课程的学习目的 通过本课程的学习,使学生掌握数字电子技术的基础理论,掌握各类元件的特点及应用,培养学生应用数字电路元件和常用集成器件设计组合电路和时序逻辑电路的能力,同时掌握振荡器和模/数及数/模转换器的应用知识。 二、本课程的总体要求 1.基本要求: 学生应在具备电工原理的基础知识和模拟电路基本概念的前提下,掌握模拟电路与数字电路的区别;掌握数制的概念和转换方法;掌握组合逻辑电路的基本特点与设计方法及典型组合电路的工作原理与分析方法;掌握时序逻辑电路的基本特点与设计方法及典型时序电路的工作原理与分析方法;掌握常用数字电路的的特点与使用方法并完成课程设计的有关内容与要求。 2.技能要求: 1)元器件的识别; 2)电子线路的焊接; 3)电路的调试与故障的分析和排除; 4)原理图的设计与绘制。 3.素质要求:

培养良好的分析问题和解决问题的能力,能综合运用所学内容独立完成课程设计,善于归纳总结,具有创新意识。 第二部分课程学习的基本要求及重点难点内容分析 第一章数字逻辑基础 1.本章学习要求 1)应熟悉的内容 数字电子技术的发展与应用;数字系统的基本概念;一些常用术语或定义二值数字逻辑、逻辑电平、脉冲波形和数字波形等。建立逻辑问题的描述的概念。 2)应掌握的内容 理解“基数”、“权”的概念;各种代码的特点。初步建立逻辑变量与逻辑函数和与、或、非三种基本逻辑运算的概念。 3)应熟练掌握的内容 各种代码和各种数制之间的转换。 2. 本章重点难点分析 重点:各种代码与各种数制之间的转换。 难点:数与码的概念;逻辑问题的描述。 3. 本章典型例题: 例1:选择题 1)三位二进制数码可以表示的状态是()。 A. 2 B. 4 C. 6 D. 8 答案:D 2)十进制数25转换为二进制数为()。 A. 110001 B. 10111 C. 10011 D. 11001答案:D 3) BCD代码为(100011000100)表示的数为(594)10,则该BCD代码为()。 A. 8421BCD码 B.余3 BCD码 C. 5421BCD码 D. 2421BCD码答案:C

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=Ω,C=μF 。试求脉冲宽度 T ,振荡频率f 和占空 比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 ………………………密……………………封…………………………装…………………订………………………线……………………… 学院 专业(班级) 姓名 学号 ……………

时,1Y 、6Y 分别才为低电平(被译中)。 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。 图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1” 的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求:

数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验 一、实验目的:1、熟悉面包板的结构 2、进一步掌握与非门、或非门、异或门的功能 3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块 74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台 三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1 面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图: 74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。 VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y 1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D 1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND 四、实验内容与步骤: 1、测试面包板的内部结构情况: 用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能: 1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1 其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。 5)分析测得的结果是否符合“与非”的关系。 *3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式 2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2 3注意:带*号的为选做内容。 五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器: 三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。 四、实验结果分析:将实验中出现的现象、结果进 行分析。 五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

《电子技术基本》数字电路备课教案(张兴龙主编教学材料)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。

当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。 教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、

数字电子技术基础试题及答案

数字电子技术基础试 题及答案 Revised on November 25, 2020

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.有一数码,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相 当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ? ?+??? ? ?++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为()V ,输出低电平为()V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. Y 3 =(AB )。 12. 13 二、分) 1.函数。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101

相关文档
最新文档