循环彩灯课程设计程序

循环彩灯课程设计程序
循环彩灯课程设计程序

湖南科技大学信息与电气

工程学院

VHDL课程设计报告

专业:电子信息工程

班级:一班

姓名: xx

学号: xx

指导老师: xx

本设计的任务是熟悉支持VHDL语言的软件,如Max Plus 2,quartus等,

利用这一类软件使用VHDL语言进行设计编译仿真。本次设计的主要目的:

1、使我们熟练掌握相关软件Max Plus 2及quartus的使用操作。能对VHDL语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。

2、在成功掌握软件操作基础上,让学生将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。要求学生自主设计电路,编写程序,鼓励新思路,新方法,新观点。

3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。通过对课程的设计、仿真、调试来具体完成。

4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。

2、设计方案的论证。

彩灯控制器电路是整个设计的核心,它控制整个设计的输出效果也就是图案的样式变化。在电路中用1代表灯亮,用O代表灯灭,由0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,以实现多种图案及多种频率的花样功能显示。该程序充分证明了用VHDL设计电路的灵活性,即可以通过改变程序中输出变量的位数来改变彩灯的数目。

彩灯控制器的第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮,第2种花样为彩灯两边同时亮1个逐次向中间移动再散开;第3种花样为彩灯两边同时亮2个逐次向中间移动再散开个花样自动变换,循环往复。

3、设计仿真及结果分析。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY colorlight IS

PORT(clk,clr:IN STD_LOGIC;

red,green,yellow:OUT STD_LOGIC);

END ENTITY colorlight;

ARCHITECTURE example OF colorlight IS

SIGNAL dout:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL m:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

red<=dout(2);

green<=dout(1);

yellow<=dout(0);

PROCESS(clk) IS

BEGIN

IF(clr='1') THEN

m<="001";

ELSIF(clk'EVENT AND clk='1') THEN

IF(m="110") THEN

m<="001";

ELSE

m<=m+1;

END IF;

CASE m IS

WHEN "001"=>dout<="100";

WHEN "010"=>dout<="100";

WHEN "011"=>dout<="100";

WHEN "100"=>dout<="010";

WHEN "101"=>dout<="010";

WHEN "110"=>dout<="001";

WHEN OTHERS=>dout<="111";

END CASE;

END IF;

END PROCESS;

END ARCHITECTURE;

仿真分析:

根据程序,clk为时钟脉冲。Clr设为高电平有效,根据要求以及程序的响应。红色灯亮三秒,即持续三个时间脉冲,绿色灯亮2秒,即持续两个时钟脉冲,黄色灯亮疫苗。就一个时钟脉冲。如图所示,仿真正确。

实验二:

1秒计数模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY second IS

PORT( clk1,reset1:STD_LOGIC;

enmin:OUT STD_LOGIC;

daout1:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END ENTITY second;

ARCHITECTURE fun OF second IS

SIGNAL count:STD_LOGIC_VECTOR(6 DOWNTO 0);

BEGIN daout1<=count;

PROCESS(clk1,reset1)

BEGIN

IF(reset1='0')THEN count<="0000000";

ELSIF(clk1'event and clk1='1')then

IF(count<16#3C#)then

IF(count="0111011")then

enmin<='1';

count<="0000000";

ELSE

count<=count+1;

enmin<='0';

END IF;

END IF;

END IF;

END PROCESS;

END fun;

仿真结果:

仿真分析:根据程序,对秒进行简单计数,当计数达60时,计数器清零,向enmin进位,并开始新的计时。如此循环,实现对秒计数的仿真。如图所示

2分计数模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY minute IS

PORT(clk2,reset2:IN STD_LOGIC;

enhour:OUT STD_LOGIC;

daout2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END ENTITY minute ;

ARCHITECTURE fun OF minute IS

SIGNAL count :STD_LOGIC_VECTOR (6 DOWNTO 0);

BEGIN --enmin_2由clk调制后的手动调时脉冲信号串daout2<=count;

PROCESS(clk2,reset2)

BEGIN

IF(reset2='0') THEN --若reset为0,则异步清零

count<="0000000";

ELSIF(clk2'event and clk2='1')THEN --否则,若clk上升沿到

IF(count <16#3C#) THEN --又若count小于16#60#,即60

IF(count="0111011") THEN--又若已到59D

enhour<='1'; --则置进位为1

count<="0000000"; --count复0

ELSE

count<=count+1; --若count未到59D,则加7,即作"加6校正"

enhour<='0';

END IF; --使前面的16#60#的个位转变为8421BCD的容量END IF; --END IF(reset='0')

END IF;

END process;

END fun;

仿真结果:

仿真分析,与秒计时原理一样。只是输出端的改变,clk仍为时钟信号,上升沿有效。

3.时计数模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY hour IS

PORT(clk3,reset3:IN STD_LOGIC;

daout3:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END ENTITY hour ;

ARCHITECTURE fun OF hour IS

SIGNAL count :STD_LOGIC_VECTOR (6 DOWNTO 0);

BEGIN

daout3<=count;

PROCESS(clk3,reset3)

BEGIN

IF(reset3='0') THEN --若reset为0,则异步清零

count<="0000000";

ELSIF(clk3'event and clk3='1')THEN --否则,若clk上升沿到

IF(count <16#18#) THEN --又若count小于16#60#,即24

IF(count="0010111") THEN--又若已到23

count<="0000000"; --count复0

ELSE

count<=count+1;

END IF; --使前面的16#60#的个位转变为8421BCD的容量END IF;

END IF;

END process;

END fun;

仿真结果:

仿真分析:时计数,所计数的量程不同,原理大致与以上两个模块一样。仿真如图4顶层模块设计

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY shizhong IS

PORT( clk,reset:STD_LOGIC;

out1,out2,out3:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END ENTITY shizhong;

ARCHITECTURE fun OF shizhong IS

--SIGNAL count:STD_LOGIC_VECTOR(6 DOWNTO 0);

component second

port(clk1,reset1:in std_logic;

enmin:out std_logic;

daout1:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

end component;

component minute

port(clk2,reset2:in std_logic;

enhour:out std_logic;

daout2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

end component;

component hour

port(clk3,reset3:in std_logic;

daout3:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

end component;

signal a,b:std_logic;

begin

u1 : second port map(clk1=>clk,reset1=>reset,daout1=>out1,enmin=>a);

u2 : minute port map(clk2=>a,reset2=>reset,daout2=>out2,enhour=>b);

u3 : hour port map(clk3=>b,reset3=>reset,daout3=>out3);

end ARCHITECTURE fun;

仿真波形:

仿真分析:时钟计数器是有三个模块共同组合达到所需要仿真的功

能。在本次仿真中需要将以上三个模块组合起来。运用component语句,实现秒计进分,分计进时。这样便实现日常的时钟表的工作,达到仿真,实现仿真目的。仿真结果如上图。

4、设计及仿真中的体会。

在设计与仿真中,由于对软件的不了解,操作也不熟练,经常会出现找不到自己想要的。尤其在仿真中,对信号的输入,以及调试要得到预期的结果都有些不太明白。但最后通过向他人求助解决了这个这些问题。设计过程中调试的时候发现不能进行调试,后来经过学习和查阅资料发现程序文件名的后缀应为“.vhd”,并且文件名应该与程序中命名的实体名一致,否则都会造成程序段不能编译调试成功,后来,把这些都改正之后发现程序还是调试不了,在确认程序设计思想及逻辑都没有问题之后,更加百思不得其解,也没有出什么明显的语法错误,慢慢琢磨了很久之后还是不清楚,后来突然发现原来自己少些了一个库文件的调用“USE IEEE.STD_LOGIC_UNSIGNED.ALL;”,添加之后便能正常运行了。所以要想学号这门语言,必须要多练习,才能够很好的掌握。

5、对本次课程设计的体会和建议。

本次数字系统仿真与VHDL课程设计的课程设计时间主要是2014年1月9日至17日这两周时间。主要的设计任务是将本学期VHDL硬件描述语言与数字逻辑电路设计和上学期所学的数字电路中所学的知识运用的实践中去,提高自己在理论与实践的相结合能力,进一步掌握本专业的各项知识,为以后的专业学习打下良好的基础。从一些基础掌握一些本专业所学的知识了解一些基础的实验工具如quartus的使用,本次的设计基本达到预期的效果,得出设计的结果。但本次数字系统仿真与VHDL课程设计也存在着一些不足之处,于此将本次设计的收获和不足总结为以下几点:

第一、在程序的编写过程中,通过发现问题再到解决问题,在这过程中,逐渐的掌握了Max Plus2的使用以及在使用过程中的一些注意事项,对更好的学习理论知识起到了一定的帮助。

第二、初步了解对电路的设计的思维和方法,在这方面还有很多不足的地方,理论知识不强,专业的课外知识不充足。在编写程序的过程中遇到了一定的麻烦,感受到了正确的不一定是实用的。

第三、本次的设计得益于网络资源及图书馆资料的帮助,同时我也认识到在未来的学习中我们不仅要从老师那里学习知识,更多的是合理的正确的利用网上及图书馆资源

6、参考文献(包括电路图和元器件清单)

[1]作者:侯伯亨,刘凯,顾新

书名:《VHDL硬件描述语言与数字逻辑电路设计》

出版社:西安电子科技大学出版社

出版时间:2009.08

[2]作者:蒋清明

书名:《C语言程序设计》

出版社:人民邮电出版社

出版时间:2008.04

[3]作者:田红丽,张涛

书名:《基于VHDL微处理器模拟实验系统的设计与实现》

出版社:河北省科学院

忽略此处..

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

课程设计循环彩灯

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名:听风 学号:2010020200XX 专业班级:10级电子信息工程(1)班 指导教师: 2012 年5 月25日

课程设计任务书设计题目循环彩灯 学生姓名XX 所在院系电子信 息与电 气工程 学院 专业、年级、班 10级电子信息 工程(1)班 设计要求: 1、设计制作一个循环彩灯电路。 2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。 3、器件:74LS192、74LS138、74LS00、555定时器各一片,48kΩ ,300 Ω,51kΩ,10uf,0.01uf各一个。 学生应完成的工作: 根据原理进行设计,方案论证,完成循环彩灯的原理图设计及PCB板的制作,手动布线完成PCB板图。然后将修改无误的PCB板图复制,转印,做板。再按照自己设计的电路原理图把电子元器件焊接到电路板上。经调试能正常工作,老师考查合格后,写好设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2] 郑君里、杨为理信号与系统[M]北京:高等教育出版社,2011 [3] 谷树忠、刘文洲、姜航Altium Designer 教程北京:电子工业出版社,2006 [4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. 工作计划: 2012年5月14日上午:课程设计说明、软件培训。下午:Multism电路仿真。 2012年5月15日:电路设计与仿真。 2012年5月16日上午:电路设计与仿真。下午:指导教师单独指导。 2012年5月17日:用Altium Designer 10设计原理图。 2012年5月18日:用Altium Designer 10设计PCB。 2012年5月21日上午:循环彩灯制版。下午:循环彩灯安装。 2012年5月22日:指导课程设计报告书写。 2012年5月23日:指导课程设计报告书写。 2012年5月24日:电路调试。 2012年5月25日:设计验收设计报告收交。 任务下达日期:2012 年 5 月14 日 任务完成日期:2012 年 5 月25 日 指导教师(签名):学生(签名):

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

循环彩灯的设计与制作

湖南工业大学课程设计 资电气与信息工程 料袋 学院(系、部) 2012-2013 学年第一学 期 课程名称电子设计与制作指导教师xx职称讲师 学生姓名x专业班级电信093学号094012003xx 题目循环彩灯的设计与制作 成绩起止日期2012 年11月19日~2012年11月30 日

湖南工业大学 课程设计任务书 2012-2013学年第一学期 电气与信息工程学院(系、部)电子信息工程专业093班级 课程名称:设计题目: 电子设计与制作循环彩灯的设计与制作 完成期限:2012 年11月19日~2012年11月30日共2

指导教师(签字):年月日 系(教研室)主任(签字):年月

日 电子技术课程设计 设 计说明 书 循环彩灯的设 计与制作 起止日期: 2012 年 11 月 19 日~2012 年 11 月 30 日 学 生姓 名 班 级 学 号 成 绩 指 导教 师 ( 签 字 ) xx 电 信 093 xx

电气与信息工程学院(部) 2012年11 月2 日 1、设计任务及要求 要求设计一个循环彩灯,采用8个LED,实现顺序/逆序流水,LED交替频率可调。自行设计电源部分,为电路板提供直流电源。自行设计信号发生部分,为电路板提供工作频率。根据技术指标进行循环彩灯总体方案设计,说明设计思路,选择相应的元器件型号,列出元器件清单,介绍主要芯片的功能,介绍各具体单元电路设计,画出完整的电路原理图、PCB图。 2、设计思路 循环彩灯主要由桥式变压器、整流电路、滤波电路、稳压电路、555定时器、74ls193计数器、3-8译码器等部分组成。首先是将220V交流电通过变压器转换成较小的交流电,通过桥式整流将电压加到直流负载上从而输出直流电压,通过滤波稳压从而实现其稳定的5V直流电压,使555定时器通过调节滑动变阻器实现秒脉冲震荡器,加到计数器的加法或者减法脉冲端口实现8进制计数,通过译码器从而实现循环彩灯功能。 3、各单元电路说明 1>开关模块 通过可调式电阻来控制电压输入,接通时发光二极管D9点亮。 2>电源电路模块

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

循环彩灯电路设计报告

《数字电子技术基础》课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言 (1) 第一部分:设计方案设计 (2) 1.1方案选 择: (2) 1.2功能设计及分析 (2) 1.2.1 时钟信号功能设计............................................... 错误!未定义书签。 1.2.2 花型控制功能设计 (2) 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说明 (4) 第二部分:硬件调试总结 (5) 2.1 元器件清单及说明 (5) 2.2 硬件调试 (9) 第三部分:总结 (10) 3.1 设计小结 (10) 3.2 心得体会 (11) 参考文献 (11) 附录 (12)

数字逻辑课设-循环彩灯系统设计

学生课程设计报告书 课程:数字逻辑 课题:循环彩灯系统设计 级计算机信息技术系 专业班 学号: 姓名: 指导教师: 2012—2013学年第 2 学期

循环彩灯系统设计 一、设计目的 1.设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2.综合应用数字逻辑知识设计一个循环彩灯系统。了解各种元器件的原理及其应用。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 二、设计任务与要求 1、设计任务 设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2、设计要求 1.利用Multisim仿真软件完成8路循环彩灯控制电路系统的设计,且发光二极管的亮度明显可见。 2.根据课设要求确定电路的形式,分析其工作原理,计算元件参数。 3.列出需要的元件清单,在仿真软件中找出并连接好线路。 4.在仿真软件上连接好点啦,并且测试,达到要求。 5.记录实验结果,使得彩灯有规律的发亮,按顺序显示彩灯,当一个循环结束,返回继续循环:绿--绿— 蓝—蓝—红—红—橙—橙,接着返回绿色循环 6.原件和芯片的放置合理,使得界面整洁美观,布线紧密合理。 三、8路彩灯循环系统电路原理及设计 1、设计方案 根据课设要求,循环彩灯系统,首先需要产生一定的脉冲信号来实现,通过采用555定时器通过链接成一个多谐振荡器,振荡电路来实现;各个彩灯发亮之间需要一定的显示时间,此功能可以通过添加D触发器来实现,然后用74LS160计数器转换脉冲信号用来控制彩灯的显示方式。最后链接其显示电路,通过74154N译码器实现。 1.根据课题要求,列出电路中各个功能需要的芯片和原件, 元器件列表 表1 序号器件名称数量备注 1 555定时器 1 连接成多谐振荡产生 脉冲信号

基于plc设计的彩灯循环课程设计论文

专科课程设计(论文)设计题目:基于PLC设计的彩灯循环 系部:电气工程系 专业:电气工程及其自动化 班级:电气自动化111302

摘要 可编程控制器是60年代末在美国首先出现的,当时叫可编程逻辑控制器PLC(ProgrammableLogicController),目的是用来取代继电器。以执行逻辑判断、计时、计数等顺序控制功能。提出PLC概念的是美国通用汽车公司。PLC的基本设计思想是把计算机功能完善、灵活、通用等优点和继电器控制系统的简单易懂、操作方便、价格便宜等优点结合起来,控制器的硬件是标准的、通用的。根据实际应用对象,将控制内容编成软件写入控制器的用户程序存储器内,使控制器和被控对象连接方便。 70年代中期以后,PLC已广泛地使用微处理器作为中央处理器,输入输出模块和外围电路也都采用了中、大规模甚至超大规模的集成电路,这时的PLC 已不再是仅有逻辑(Logic)判断功能,还同时具有数据处理、PID调节和数据通信功能。国际电工委员会(IEC)颁布的可编程控制器标准草案中对可编程控制器作了如下的定义:可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用了可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外围设备,易于与工业控制系统联成一个整体,易于扩充其功能的设计。 可编程控制器对用户来说,是一种无触点设备,改变程序即可改变生产工艺。目前,可编程控制器已成为工厂自动化的强有力工具,得到了广泛的普及推广应用。 可编程控制器是面向用户的专用工业控制计算机,具有许多明显的特点。 ①可靠性高,抗干扰能力强; ②编程直观、简单; ③适应性好; ④功能完善,接口功能强。 \

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

单片机彩灯循环

《单片机原理及应用》课程设计报告 题目:彩灯循环系统 专业:电子信息工程 班级: 学号: 姓名: 指导教师:

一.实验目的: 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二.实验内容: 通过8051单片机实现彩灯循环 三.实验过程: 1.引言 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,便宜的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,。。 本方案提出了一种基于8051单片机的彩灯控制方案,实现对LED彩灯的控制。本方案以8051单片机作为主控核心,在主控模块上设有3个按键和8个码LED显示灯,根据需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为1 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2.8051单片机引脚功能介绍 首先我们来连接一下单片机的引脚图,如果,具体功能在下面都有介绍。单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。 ⒈电源: ⑴ VCC - 芯片电源,接+5V; ⑵ VSS - 接地端; ⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

数电课程设计 双色三循环彩灯控制器电路

四川航天职业技术学院 电子工程系课程设计专业名称:电子工程系 课程名称:模电课程设计 课题名称:双色三循环彩灯控制器 设计人员: 指导教师:

年月日 《数字电子技术课程设计》任务书 一、课题名称:双色三循环方式彩灯控制器设计 二、技术指标: 1、电源VDD=12v 2、相邻两灯点亮的时间约在0.3-0.7s间可调,延时时间约在 1-6s间可调 3、计数器和译码器分别采用CMOS中规模集成电路CC4516 和CC4514 4、译码器4145共16个输出。 5、CMOS非门构成的振荡器的振荡周期T=1.4RC,555构成的振 荡器的振荡周期T=0.7(R1+2R2)C 三、要求: 1、控制器有3种方式: 方式A:单绿左移—单绿右移—单红左移—单红右移; 方式B: 单绿左移—全熄延时伴声音; 方式C:单红右移—四灯红闪、四灯绿闪延时。 2、控制器有8路输出,每路用双色发光二极管指示。 3、由单刀三掷开关控制3种方式,每种方式用单色发光二极 管指示 4、论文格式按系下发的《课程设计格式要求》统一执行。 5、要求原理图、印制板图、装配图三图齐全(印制板图和装 配可合二为一)。 指导教师:

学生: 电子工程系 年月日 课程设计报告书评阅页 课题名称:双色三循环彩灯控制器 班级: 姓名: 年月日指导教师评语:

考核成绩:指导教师签名: 年月日 摘要 循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的双色循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。 关键词:计数器,译码器,集成,双色发光二极管

循环彩灯课程设计知识讲解

循环彩灯课程设计

精品资料 成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术学 院:电子信息与电气工程学院 学生姓名:李倩 学号: 201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对 74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

目录 1.设计背景 (1) 1.1 了解数字电路系统和数字电路的定义和组成 (1) 1.2掌握时钟电路的作用及基本构成 (1) 2.设计方案 (1) 2.1 任务分析…………………………………………………………………… 1 2.2方案论证 (2) 3.方案实施 (2) 3.1 原理图设计………………………………………………………………… 2 3.2电路仿真 (4) 3.3PCB制作 (5) 3.4安装与调试 (6) 4.结果与结论 (6) 5.收获与致谢 (6) 6.参考文献 (7) 7.附件 (7) 7.1电路原理图 (8) 7.2仿真图 (8) 7.3P C B布线图 (9)

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

16路循环彩灯设计报告

循环彩灯控制电路的设计 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

模电课程设计参考题目

; 课程设计题目 一.多功能信号发生器的设计(三选一) 设计要求: 1.能输出1~10KHz连续可调的正弦波-方波-三角波。 正弦波峰-峰值U P-P≥6V 方波的峰-峰值U P-P≥10V 三角波的峰-峰值U P-P≥5V 要求用集成运算放大器μA741,LM324或其他型号的运算放大器实现。 2.> 3.能输出1~10KHz连续可调的正弦波-方波-锯齿波。要求同上。 4.能输出1~10KHz连续可调的方波-三角波-正弦波函数转换器。要求同上。 二.带前置放大的音频功率放大器(二选一) 设计要求: 1.前置放大器的放大倍数为10倍,使用双/单路低噪声集成运放NE5532/NE5534、OP-27A,功率放大采用LA4100、或LM386、或其他型号。音量可调,杂音小,有电源退耦,无自激。 2.用集成功放TDA1521、TDA2030A或LM1875等 用桥式整流电容滤波集成稳压块电路设计电路所需的直流电源(查功放最低的直流电压)。 三.设计一OCL音频功率放大器 ? 设计任务和要求 1.OCL前面要有推动级。输入信号为ui=10mV, 频率f=1KHz; 2.额定输出功率Po≥2W; 3.负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 四.设计一OTL音频功率放大器 设计任务和要求 1.OTL前面要有推动级。设音频信号为ui=10mV, 频率f=1KHz; 2.! 3.额定输出功率Po≥2W;负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 五.多级低频电压放大器 设计要求:

循环彩灯课程设计

成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术 学院:电子信息与电气工程学院 学生姓名:李倩 学号:201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书设计题目循环彩灯 学生姓名李倩所在学院电子信息与电气工 程学院 专业、年级、班 电子信息工程 2011级1班 设计要求: 1、设计制作一个循环彩灯电路; 2、设置彩灯数量为8个,8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。 学生应完成的工作: 设计循环彩灯的工作原理,并利用Multisim软件进行电路仿真。利用DXP软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装调试,完成课程设计工作,并提交课程设计报告。 参考文献: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡.Protel DXP电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月27号—30完成原理图设计;5月31号进行PCB设计;6月3号到4号制作PCB板;6月5到7号电路板安装与调试,提交课程设计报告。 任务下达时期:2013 年5月27日 任务完成时期:2013年6月7 日 指导教师(签名):学生(签名):

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

相关文档
最新文档