实验报告时序分析和优化

实验报告时序分析和优化
实验报告时序分析和优化

实验报告时序分析和优化

篇一:最优化实验报告

最优化第一次实验报告

班级:信息与计算科学 2 班姓名:陈鸿杰学号:201141410202

一、模型求解:

运用黄金分割法求得minφ=-1,最优解为:α=1。

第一次迭代点为:-

第二次迭代点为:-

二、程序代码:

function [s,phis,k,G,E]=golds t=-1)/2;

h=b-a;

phia=feval;

phib=feval;

p=a+*h;

q=a+t*h;

phip=feval;

phiq=feval;

G=[a, p, q, b]; while>epsilon) ||

if*h;

phip=feval;

else

a=p;

phia=phip;

p=q;

phip=phiq;

h=b-a;

q=a+t*h;

phiq=feval;

end

k=k+1; G=[a, p, q, b]; end

ds=abs;

dphi=abs;

if

s=p;

phis=phip;

else

phis=phiq;

end

E=[ds,dphi];

三、模型求解:

在Matlab命令窗口输入:[s,phis,k,G,E]=golds

x -2*x,-2,5,1e-5,1e-5) 程序运行结果:s =

phis = -

k = 29

G = -

-

-

E = *[]

篇二:时序实验报告

实验报告实验项目名称时间序列数据平稳性检验实验室机房八

所属课程名称时间序列分析实验类型软件操作

实验日期班级金融保险统计学号2008119060姓名蔡志文

成绩篇二:基本时序电路的实验报告专业:电气工程及自动化姓名:邓思原实验报告学号:3130103251 日期:1月5日地点:东三-310 课程名称:电路与电子技术实验ⅰ指导老师:李玉玲成绩:

__________________ 实验名称:实验25时序逻辑电路设计、实验27脉冲分配器实验类

型:_____ 同组学生姓名:__

一、实验目的和要求

1、加深理解时序电路的工作原理;

2、学习时序电路的设计与调试;

3、掌握时序集成

电路的应用。

二、实验内容和原理原理:

1、同步十进制加法计数器设计(给定条件为74ls107双jk触发器两片和74ls11三输入

与门一片)①画出状态转换图②列出状态表,得出对jk的要求③求jk 的函数式(用卡诺图求,1010至1111六种当无关项处理)④实验驱动方程,按表达式画出电路图

2、脉冲分配器原理和设计②画出状态转换图②列出状态表③求d的函数式④根据表达式画出电路图内容:

1、用74ls107型jk触发器和74ls11三输入与门设计一个8421bcd码的同步十进制加法

计数器;2、用74ls74型d触发器和74ls55与或非门设计脉冲分配器电路。

三、主要仪器设备

芯片74ls107双jk触发器两片、74ls107型双j--k触发器两片、74ls11三输入与门一

片、74ls00与非门一片和与74ls55

或非门三片;实验面板;导线;示波器等。

四、操作方法和实验步骤

装好芯片,按图接线,进行调试,记录波形图。1、调试过程同步十进制加法计数器:

①连接好电路,输出连发光管,用1hz信号或手控脉冲作为计数脉冲进行调试;②输出连数码管模块的d、c、b、a,计数脉冲用1hz信号或手控脉冲,观察显示结果;

③加入1khz的方波作为计数脉冲,用示波器观察cp及4个输出端的波形,记录实验波形;④

检查电路能否自启动。先将输出置成无效态,然后再加入计数脉冲。脉冲分配器:①连接好电路,用手控脉冲作为计数脉冲进行调试:

(1)x=“1”时的功能;(2)x=“0”时的功能;(3)检查自启动功能。②加入1024hz的方波作为计数脉冲,用示波器观察cp、qa、qb、qc的波形,记录实验

波形。2、注意事项

①实验前应检查芯片的逻辑功能;

②由于实验箱上1hz、1khz信号驱动能力有限,可在

1khz信号后接非门以增强驱动能力。

五、实验数据记录和处理

波形图照片:

1、同步十进制加法计数器

cp端与q0端q0端与q1端q1端与q2端q2端与q3端篇三:时序电路设计实验报告实验报告

——常用电子仪器的使用与二端口网路参数的测量方法实验目的:

⑴了解电子示波器(ss7804/7810)、函数信号发生器(ee1642b1)、直流稳压电源

(dh1718e-4)等几种常用电子仪器的原理、主要技术指标。⑵熟悉示波器状态的正确掌握方法(包括亮度、聚焦、触发源与触发方式、耦合方式、y

轴衰减器与时基单元等),掌握用示

波器测量直流电源、交流电压(包括幅度、频率、相位差)、

时间间隔以及脉冲波形的上升沿、下降沿等参数的方法。⑶掌握函数信号发生器的正确调整

方法。包括包括频率范围、频率微调、占空比、直流偏移、输出衰减、输出幅度等的调节。⑷结合常用电子仪器的使用,学习二端口网路主要参数(输入电阻、输出电阻、增益、

幅频特性等的测量方法。

⑸学习用示波器测量电路的电压传输特性。学习信号发生器直流偏移功能的应用。实验原理:

⑴电子示波器(ss7804)的使用方法:

1.按下电源开关打开示波器。

2.调节亮度旋钮,若扫描线未显示,使用寻迹开关寻找。

3.将ch1/ch2连出的线连到校准信号输出端,检验y轴灵敏度和x轴的扫描速度。

4.将要测的信号接入ch1/ch2,根据

要求调整示波器的ac/dc档。调节y轴位移旋钮,

使扫描线移动到显示器正中间,再调整水平位置微调键使扫描线停止不动。5.利用游标或屏

幕的显示数据来完成实验内容。⑵函数信号发生器(ee1642b1)的使用方法:1. 按下电源

开关,打开信号发生器。

2. 使用函数输出波形选择键选择输出波形。

3. 调节apml键调节函数信号的输出幅度。,

再用rate

4. 按下频率范围选择键选择频率范围,调节频率调节旋钮粗调频率,再用rate键微调

率。

⑶脉冲波形的主要参数及示波器的测量方法: 1. 脉冲幅度vm:指波形高低电平的差。先调节得到稳定波形,再调节δv-δt-off按钮,使游标水平,再使

用tck/c2按钮并配

合function旋钮使游标与波形相切,再读数。 2. 平均脉宽tw:脉冲前后沿瞬时值为

的对应点之间的时间间隔称为平均脉冲宽度。同上,只需将游标从水平改为竖直。

3. 重复周期t:相邻两个脉冲对应点之间的时间间隔,倒数为重复频率f。同2的测量

方法。

4. 上升时间tr:脉冲波形从上升到所需的时间。先调节波形至稳定,按下gnd按钮,再用position旋钮将亮线调至中线,在通过ch1/ch2

的volts/div旋钮先粗条再微调至波形占6格,再按下magx10按钮,并调节position旋钮

找到上升沿,再用time/div调节,然后用游标来读数。

5. 下降时间tf:脉冲波形从下降到所需的时间。找到上升沿后按下scope

按钮即可找到下降沿。

6. 占空比d:通常将平均脉宽tw与重复周期t的比值称为占空比。同2的测量,再代入d=tw/tx100%。

7. 顶部倾斜δvm:脉冲波形顶部下降的大小。实验内容:

⑴示波器和函数信号发生器的应用

1.观察示波器的校准信号:调节函数信号发生器,使之输出幅度为1vp,频率为10khz的正弦电压,记录示波器测

得的 3.测量不同频率下两正弦交流电压的相位差:实验电路如图所示。输入电压是幅度为1vp,频率分别为10khz 和20khz的正弦

交流电压,分别测输入和输出电压的幅度以及他们之间的相位差。图调节函数信号发生器,使幅度显示为5vp,频率显示为100khz,占空比调节旋钮顺时针

旋转到底,记录示波器测得的幅度、周期(频率)、占空比。

5.测量脉冲波形的上升沿、下降沿。

实验电路如上图所示。v1是幅度为1vp,频率为5khz的方形脉冲,记录示波器测得的v1与vo的波形及其上升时间tr、下降时间tf。

6.研究示波器探头“х1”、“х10”档对测量结果的影响测量电路如图所示。输入信号vi是幅度为1vp,频率分别为100khz、400khz的

正弦信号。分别用示波器探头“х1”、“х10”档测量输出电压vo,总结示波器输入电容的影响和示波器探头的用途。示波器输入电容的影响:

若输入电容为c,在“х1”档时示波器显示为c,“х10”显示为c/10. 示波器探头的用途:

探头在信号源与示波器间建立通道,并能通过调节来改变输入电阻与输入电容。

7.示波器测量直流电压调节函数信号发生器,使其输出频率为1khz,幅度为5v的正弦波,用示波器(dc耦合)观察该信号波形。然后顺时针旋转

dffset旋钮,观察并记录直流偏移为0(offset旋钮处于

关断位置)和最大、最小时的波形。注意在波形不失真的情况下测量。⑵二端口网路参数的测量:为了简单,下面的测试采用图所示的无源rc网路。 1.测量图电路的输入

电阻(频率为1khz)。 2.测量图电路的输出电阻(频率为1khz)。 3.测量图

电路的电压增益(频率为1khz)。实验人:蒋伟鑫

班级:自06 学号:2010030031 ⑶利用示波器x-y工作方式,观测图一电路的电压传输特性曲线。输入信号vi时低电平

为0v、高电平为5v,频率200hz的锯齿波电压。 1.确定示波器扫描线零电平的位置将ch1、ch2探头的“探针”和“地接夹子”都接在学习机地线端,调整示波器y轴位移

旋钮,确定扫描线零电平位置。(可

将ch1、ch2通道的零电平调整到同一水平线上)。 2.用

示波器测量信号发生器的输出波形篇四:时序电路实验报告时序电路实验说明:在实验原理图中的框图中,第一步的产生环形脉冲是指产生q4~q1:1000->1100->1110->0000->1000,产生的译码后的节拍电位是指t40~t41:0001->0010->0100->1000->0001,最后通过启停控制逻辑,启动,单拍,停机

控制t40~t41怎么输出。

但是为什么环形脉冲没有1111这一个状态呢,为什么在0111就归零了呢?分析电路图

可知,因为节拍电位只有4个状态,所以在环形脉冲的设计中忽略了1111,当然也可以设计

成没有0000这个状态。

先解释机器周期、节拍电位、时标脉冲。机器周期的时间宽度通常对应于一个内存存取周期,即一个机器周期可

以访问一次内存。

机器周期分为多种类型,如取指令周期、取操作数周期、执行周期等。一条指令从内存中取

出到被执行完需要若干个不同类型的机器周期,但任何指令的第一个周期都是取指令周期,

每个机器周期包含若干个节拍电位。节拍电位是控制操作顺序的信号,各节拍电位在时间上不重叠。节拍电位由主振分频得

到。其宽度对应于运算器执行一次算术/逻辑运算所需要的时间,一个节拍电位包括若干时标

脉冲。

时标脉冲用来保证计算机内触发器的可靠翻转,它的宽度为节拍电位的几分之一。以上内容书上也有,下面举例来解释这3个概念。一条指令有分为取指周期,译码周期,执行周期。如比较熟悉的取指周期中就有fetch1:ar←pc fetch2:dr←m,pc←pc+

1fetch3:ir←dr[7..6],ar←dr[5..0] fetch1,fetch2,fetch3为3条微指令。每条微指令都对应相应的控制信号,如fetch1 就是arload有效,其余控制信号均无效。时标脉冲是指在节拍电位中,产生控制信号逻辑的每个时钟信号;节拍电位就是产生一

组控制信号逻辑,fetch1、fetch2、fetch3分别对应3个节拍电位;机器周期就是整个取指

周期,包括3个节拍电位。

下面解释实验原理图:第一个7474是产生清零信号和时钟信号,清零信号是当q2=1时,在时钟上升沿clrn=0,clk=1,在其他时候clk与h的信号一致。所以有clrn=’,clk=q2+h。74175是为了产生环形脉冲的,环形脉冲q4~q1为1000->1100->1110->0000->1000,q*表示次态,q表示现态。所以q4*=clrn,q3*=q4·clrn,q2*=q3·clrn,q1*=0,在74175中体现出来就是4d=1,3d=q4,2d=q3,1d=q2。产生译码后的节拍电位

可以根据卡洛图得逻辑表达式,除了q4~q1的几个状态,其余的

都作为无关项理解。q4~q1:1000->1100->1110->0000->1000对应t40~t41:

0001->0010->0100->1000->0001,有:t40=q4’,t30=q2,t20= q3·q2’,t10= q4·q3’,

第二个7474和组合逻辑是产生启停控制逻辑的。通过产生的控制信号与t40~t41进行与运算,最后得到真正的节拍电位。qd是通过锁存器产生,由于单拍和启

动信号都与周期有关,也就是可以理解为,周期结束后单拍和启动信号才发挥作用,一个是

让输出全为0,一个是输出为t40~t41,所以通过7474,来控制信号什么时候有效,所以连

接的时钟信号与q4一致,单拍时d=0,启动时d=1,会发现中间有反馈信号,这个是因为单

拍和停机都必须在启动状态下才有效,所以有这样一个连接。另外在锁存器后连接了一个与

非门和与门,这个我觉得是用来判断优先级的,因为启动状态时,只有当单拍和停机都无效

时d=1,而单拍和停机都有效时d=0,当然中间的停机信号设计有问题。

篇三:最优化实验报告

《最优化方法及其应用》

课程实验报告

一、实验内容

项目一一维搜索算法(一)[实验目的]

编写加步探索法、对分法、Newton 法的程序。

[实验学时]

2学时

[实验准备]

1.掌握一维收搜索中搜索区间的加步探索法的思想及迭代步骤;

2.掌握对分法的思想及迭代步骤;

3.掌握Newton法的思想及迭代步骤。

[实验内容及步骤]

编程解决以下问题:

1.用加步探索法确定一维最优化问题

min??t3?2t?1t?0

的搜索区间,要求选取t0?0,h0?1,??2.

2.用对分法求解

min??t,

已知初始单谷区间[a,b]?[?3,5],要求按精度??,??分别计算.

3.用Newton法求解

min??t3?2t?1,

已知初始单谷区间[a,b]?[0,1],要求精度??.

项目二一维搜索算法(二)

[实验目的]

编写黄金分割法、抛物线插值法的程序。

[实验学时]

[实验准备]

1.掌握黄金分割法的思想及迭代步骤;

2.掌握抛物线插值法的思想及迭代步骤。

[实验内容及步骤]

编程解决以下问题:

1.用黄金分割法求解

min??t,

已知初始单谷区间[a,b]?[?3,5],要求精度??.

2.用抛物线插值法求解

minf?8x3?2x2?7x?3,

b]?[0,2],??.已知初始单谷区间[a,

项目三常用无约束最优化方法(一)

[实验目的]

编写最速下降法、Newton法(修正Newton法)的程序。

[实验学时]

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

数电实验报告 实验二 利用MSI设计组合逻辑电路

数电实验报告 实验二 利用MSI设计组合逻辑电路 姓名: 学号: 班级: 院系: 指导老师: 2016年 目录 实验目的:错误!未定义书签。

实验器件与仪器:错误!未定义书签。 实验原理:错误!未定义书签。 实验内容:错误!未定义书签。 实验过程:错误!未定义书签。 实验总结:错误!未定义书签。 实验: 实验目的: 熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能与使用方法。 掌握用MSI设计的组合逻辑电路的方法。 实验器件与仪器: 数字电路实验箱、数字万用表、示波器。 虚拟器件:74LS00,74LS197,74LS138,74LS151 实验原理: 中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。 用译码器实现组合逻辑电路 译码器是将每个输入的二进制代码译成对应的输出高、低电平信号。如3线-8线译码器。当附加控制门Gs的输入为高电平(S = 1)的时

候,可由逻辑图写出。 从上式可看出。-同时又是S2、S1、S0这三个变量的全部最小项的译码输出。所以这种译码器也叫最小项译码器。如果将S2、S1、S0当作逻辑函数的输入变量,则可利用附加的门电路将这些最小项适当的组合起来,便可产生任何形式的三变量组合逻辑函数。 用逻辑选择器实现组合逻辑电路 数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。如双四选一数据选择器74LS153

Y1和Y2为两个独立的输出端,和为附加控制端用于控制电路工作状态和扩展功能。A1、A0为地址输入端。D10、D11、D12、D13或D20、D21、D22、D23为数据输入端。通过选定不同的地址代码即可从4个数据输入端选出要的一个,并送到输出端Y。输出逻辑式可写成 其简化真值表如下表所示。 S1A1A0Y1 1X X0 000D10 001D11 010D12 011D13 从上述可知,如果将A1A0作为两个输入变量,同时令D10、D11、D12、D13为第三个输入变量的适当状态(包括原变量、反变量、0和1),就可以在数据选择器的输出端产生任何形式的三变量组合逻辑电路。 实验内容: 数据分配器与数据选择器功能正好相反。它是将一路信号送到地址选择信号指定的输出。如输入为D,地址信号为A、B、C,可将D按地址分配到八路输出F0、F1、F2、F3、F4、F5、F6、F7。其真值表如下

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

组合逻辑电路的设计实验报告

广西大学实验报告纸 _______________________________________________________________________________ 实验内容___________________________________________指导老师 【实验名称】 组合逻辑电路的设计 【实验目的】 学习组合逻辑电路的设计与测试方法。 【设计任务】 用四-二输入与非门设计一个4人无弃权表决电路(多数赞成则提案通过)。要求:采用四-二输入与非门74LS00实现;使用的集成电路芯片种类尽可能的少。 【实验用仪器、仪表】 数字电路实验箱、万用表、74LS00。 【设计过程】 设输入为A、B、C、D,输出为L,根据要求列出真值表如下 真值表

根据真值表画卡若图如下 由卡若图得逻辑表达式 B D C

BD AC CD AB BD AC CD AB BD AC CD AB BD AC CD BD AC AB D BCD C ACD B ABD A ABC ACD BCD ABD ABC L ???=???=++=+++=?+?+?+?=+++=))(()()( 用四二输入与非门实现 A B C D L 实验逻辑电路图

Y 实验线路图

【实验步骤】 1.打开数字电路实验箱,按下总电源开关按钮。 2.观察实验箱,看本实验所用的芯片、电压接口、接地接口的位置。 3.检查芯片是否正常。芯片内的每个与非门都必须一个个地测试,以保证芯片 能正常工作。 4.检查所需导线是否正常。将单根导线一端接发光二极管,另一端接高电平。 若发光二极管亮,说明导线是正常的;若发光二极管不亮时,说明导线不导通。不导通的导线不应用于实验。 5.按实验线路图所示线路接线。 6.接好线后,按真值表的输入依次输入A、B、C、D四个信号,“1”代表输入高 电平,“0”代表输入低电平。输出端接发光二极管,若输出端发光二极管亮则说明输出高电平,对应记录输出结果为“1”;发光二极管不亮则说明输出低电平,对应记录输出结果为“0”。本实验有四个输入端则对应的组合情况有16种,将每种情况测得的实验结果记录在实验数据表格中。 测量结果见下表: 实验数据表格

组合逻辑电路实验报告.docx

篇一:培养基的制备与灭菌实验报告 陕西师范大学远程教育学院 生物学实验报告 报告题目培养基的制备与灭菌 姓名刘伟 学号 专业生物科学 批次/层次 指导教师 学习中心培养基的制备与灭菌 一、目的要求 1.掌握微生物实验室常用玻璃器皿的清洗及包扎方法。 2.掌握培养基的配置原则和方法。 3.掌握高压蒸汽灭菌的操作方法和注意事项。 二、基本原理 牛肉膏蛋白胨培养基: 是一种应用最广泛和最普通的细菌基础培养基,有时又称为普通培养基。由于这种培养基中含有一 般细胞生长繁殖所需要的最基本的营养物质,所以可供细菌生长繁殖之用。 高压蒸汽灭菌: 主要是通过升温使蛋白质变性从而达到杀死微生物的效果。将灭菌的物品放在一个密闭和加压的灭 菌锅内,通过加热,使灭菌锅内水沸腾而产生蒸汽。待蒸汽将锅内冷空气从排气阀中趋尽,关闭排 气阀继续加热。此时蒸汽不溢出,压力增大,沸点升高,获得高于100℃的温度导致菌体蛋白凝固 变性,而达到灭菌的目的。 三、实验材料 1.药品:牛肉膏、蛋白胨、nacl、琼脂、1mol/l的naoh和hcl溶液。 2.仪器及玻璃器皿:天平、高压蒸汽灭菌锅、移液管、试管、烧杯、量筒、三 角瓶、培养皿、玻璃漏斗等。 3.其他物品:药匙、称量纸、ph试纸、记号笔、棉花等。 四、操作步骤 (一)玻璃器皿的洗涤和包装 1.玻璃器皿的洗涤 玻璃器皿在使用前必须洗刷干净。将三角瓶、试管、培养皿、量筒等浸入含有洗涤剂的水中.用毛 刷刷洗,然后用自来水及蒸馏水冲净。移液管先用含有洗涤剂的水浸泡,再用自来水及蒸馏水冲洗。洗刷干净的玻璃器皿置于烘箱中烘干后备用。 2.灭菌前玻璃器皿的包装 (1)培养皿的包扎:培养皿由一盖一底组成一套,可用报纸将几套培养皿包

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

组合逻辑电路-实验报告

电子通信与软件工程系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级:姓名:学号:成绩: 同组成员:姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、实验名称:组合逻辑电路(半加器全加器及逻辑运算) 二、实验目的:1、掌握组合逻辑电路的功能调试 2、验证半加器和全加器的逻辑功能。 3、学会二进制数的运算规律。 三、实验内容: 1.组合逻辑电路功能测试。 (1).用2片74LS00组成图所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2).图中A、B、C接电平开关,YI,Y2接发光管电平显示. (3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式. (4).将运算结果与实验比较.

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图. (1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表. 3.测试全加器的逻辑功能。 (1).写出图4.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表. (3).根据真值表画逻辑函数S i 、Ci的卡诺图. (4).填写表4.3各点状态 (5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.

组合逻辑电路实验报告

实验名称:组合逻辑电路 一、实验目的 1、掌握组合逻辑电路的分析、设计方法与测试方法; 2、了解组合逻辑电路的冒险现象及消除方法。 二、实验器材 需要与非门CC4011×3,异或门CC4030×1,或门CC4071×1。 CC4011引脚图CC4030引脚图 CC4071引脚图 三、实验内容及实验电路 1、分析、测试用与非门CC4011组成的半加器的逻辑功能。列出真值表并画出卡诺图判断是否可以简化。 图1由与非门组成的半加器电路

A B S C 2、分析、测试用异或门CC4030与与非门CC4011组成的半加器逻辑电路。 图2由异或门和与非门组成的半加器电路 A B S C 3、分析、测试全加器的逻辑电路。写出实验电路的逻辑表达式,根据实验结果列出真值表与全加器的逻辑功能对比,并画出i S和i C的卡诺图。 图3由与非门组成的全加器电路 A B1 i C i S i C

4、设计、测试用异或门、与非门和或门组成的全加器逻辑电路。 全加和:()1 -⊕⊕=i i i i C B A S 进位:()i i i i i i B A C B A C ?+?⊕=-1将全加器的逻辑表达式,变换成由两个异或门,四个与非门,一个或门组成;画出全加器电路图,按所画的原理图选择器件并在实验板上连线;进行功能测试并自拟表格填写测试结果。电路图:A B 1-i C i S i C 5、观察冒险现象。按图4接线,当1==C B 时,A 输入矩形波(MHz f 1=以上),用示波器观察输出波形,并用添加冗余项的方法消除冒险现象。 图4观察冒险现象实验电路

四、实验预习要求 1、复习组合逻辑电路的分析方法。 2、复习组合逻辑电路的设计方法。 3、复习用与非门和异或门等构成半加器和全加器的工作原理。 4、复习组合电路冒险现象的种类、产生原因和如何防止。 5、根据试验任务要求,设计好实验时必要的实验线路。 五、实验报告 1、整理实验数据、图表,并对实验结果进行分析讨论。 2、总结组合逻辑电路的分析与测试方法。 3、对冒险现象进行讨论。

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

[VIP专享]EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为Verilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

组合逻辑电路实验报告

实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门)74LS55(与或非门)74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 (一)一位全加器 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 实验内容:用74LS00与非门和74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: ; ; 1-i Bi)C (Ai + Bi Ai = Ci 1- Ci Bi Ai = Si⊕ ⊕ ⊕异或门可通过, A Bi Ai AB B+ = ⊕即一个与非门(74LS00),一个与或非门(74LS55)来实现。 , ,通过一个与或非门 1-i 1-i 1-i Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai = Ci⊕ ⊕ = ⊕ 用与非门)实现。 再取非,即一个非门( 仿真与实验电路图:仿真与实验电路图如图1 所示。 专业:工科实验班 姓名:(周三下午) 学号: 日期:地点:东三306 B-1 图1

时序逻辑电路实验报告

数字电子技术实验报告 实验名称:时序逻辑电路 班级姓名学号 实验日期年月日交报告日期年月日 时序逻辑电路 一、设计要求 1.设计一个秒脉冲发生器,用LED指示秒脉冲的发送。 2.设计一个带有异步清零和同步置数信号的4位寄存器,并在开发板上验证。 二、实验内容 任务1:秒脉冲发生器 (1)源文件——ge.v module ge( input clr, input clk, output LED ); parameter T1s = 26'b10_1111_1010_1111_0000_1000_0000; reg [26:0] Count1; always @ (posedge clk or posedge clr) begin if(clr==1) Count1<=0; else if(Count1==T1s) Count1<=0; else Count1<=Count1 + 1; end reg rled; always @ (posedge clk or posedge clr) begin if(clr==1) rled<=0; else if(Count1==T1s) rled<=~rled; end assign LED=rled; endmodule (2)约束文件——ge.ucf NET"clk"LOC="B8"; //时钟 NET"clr"LOC="N3"; //SW7

NET"LED"LOC="G1"; //LED7 (3)开发板验证 当将开关SW7拨到1,LED7每隔1s闪烁一次。 任务2:四位寄存器(异步清零,同步置数) (1)源文件——ge1.v module ge1(clr,clk,d,load,q); input clr,clk,load; input[3:0] d; output[3:0] q; reg[3:0] q; always@(posedge clk or posedge clr) if(clr) q<=0; //异步清零 else if(!clr && load) //同步置数 begin q[0]<=1;q[1]<=1;q[2]<=1;q[3]<=1; end else q<=d; endmodule (2)约束文件——ge1.ucf NET"clk"LOC="B8"; //MCLK NET"clr"LOC="P11"; //SW0 NET"load"LOC="L3"; //SW1 NET"d[3]"LOC="G3"; //SW4 NET"d[2]"LOC="F3"; //SW5 NET"d[1]"LOC="E2"; //SW6 NET"d[0]"LOC="N3"; //SW7 NET"q[3]"LOC="N5"; //LD4 NET"q[2]"LOC="N4"; //LD5 NET"q[1]"LOC="P4"; //LD6 NET"q[0]"LOC="G1"; //LD7 (3)仿真文件——test_ge1.v #100; clr=1; #200; clr=0;clk=1;d=0100; #200; clk=0;d=1100; #200; clk=1;d=1001; #200; clk=0;d=0011;

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告 篇一:数电实验报告实验二组合逻辑电路的设计 实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a)TDs-4数电实验箱、双踪示波器、数字万用表。 b)参考元件:74Ls86、74Ls00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2)组合逻辑电路的功能特点和结构特点. 3)中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。 3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

相关文档
最新文档