异或门集成电路设计

异或门集成电路设计
异或门集成电路设计

课程设计

异或门的设计

学生姓名:

学院:电气信息学院

专业班级:

专业课程:集成电路设计基础指导教师:

年月日

目录

1 概述 (1)

2 设计异或门目的、意义 (1)

3 异或门的主要功能 (1)

4 Tanner Tools 介绍 (2)

5 系统方案设计 (3)

6异或门电路图和版图设计及仿真 (4)

6.1异或门CMOS电路图设计仿真 (4)

6.2 异或门CMOS版图设计及仿真 (6)

6.2.1 异或门CMOS版图设计 (6)

6.2.2 异或门CMOS版图仿真 (9)

6.3 LVS对比异或门 (10)

7 调试结果与分析 (12)

8 课程设计体会 (12)

参考文献 (14)

1概述

集成电路,英文为Integrated Circuit,缩写为IC;顾名思义,就是把一定数量的常用电子元件,如电阻、电容、晶体管等,以及这些元件之间的连线制作在半导体衬底上,封装在一个管壳内,成为具有所需电路功能的微型结构。其引出端就是该集成电路的输入、输出、电源和接地线等。

集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。因此,集成电路的应用十分广泛,已经渗透到工业、农业、国防等各个方面,大到天上的飞机,小到手中的手表,都有集成电路的身影。

2设计异或门目的、意义

异或门(英语:Exclusive-OR gate,简称XOR gate,又称EOR gate、ExOR gate)是数字逻辑中实现逻辑异或的逻辑门。有多个输入端、1个输出端,多输入异或门可由2输入异或门构成。

异或门的应用范围广,在实际应用中可以用来实现奇偶发生器或模2加法器,还可以用作加法器、异或密码、异或校检、异或门倍频器、可控反相器等等。虽然异或不是开关代数的基本运算之一,但是在实际运用中我们依然会相当普遍地使用到分立的异或门。因此,我们为了熟练了解、掌握异或门这一基本逻辑电路,对异或门电路进行了这次课程设计。

3异或门的主要功能

异或门在数字集成逻辑电路中主要用来实现逻辑异或的功能。对于二输入异或门来说,若两个输入的电平相异,则输出为高电平1;若两个输入的电平相同,则输出为低电平0。亦即,如果两个输入不同,则异或门输出高电平。异或门的逻辑表达式:

4 Tanner Tools 介绍

本次设计使用到的工具是Tanner Tools13。Tanner Tools集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit 与LVS,从电路设计、分析模拟到电路布局一应俱全。而其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。各个组件的主要功能整理如表1所示。

表1 各个组件的主要功能

L-Edit是Tanner Research软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit丰富完善的功能为IC设计者和生产商提供了快速、易用、精确的设计平台。

Tanner Tools的设计流程大概是这样的:将要设计的电路先以S一Edit画出电路图,再将该电路图输出成SPICE文件。接着利用T一Spice将电路图模拟并输出成SPICE文件,如果模拟结果有错误,则返回S-Edit检查电路图,如果T一Spice 模拟结果无误,则以L一Edit进行布局图设计。用L-Edit进行布局图设计时要以DRC功能做设计规则检查,若违反设计规则,再将布局图进行修改直到设计规则检查无误为止。将验证过的布局图转化成SPICE文件,利用T一Spice模拟,若有

错误,再回到L一Edit修改布局图。最后利用LVS将电路图输出的SPICE文件与布局图转化的SPICE文件进行对比,若对比结果不相等,则回去修正L一Edit或S一Edit的图。直到验证无误后,将L一Edit设计好的布局图输出成GDSII文件类型,再交由工厂去制作半导体过程中需要的的光罩。设计流程框图如图1所示。

图1 Tanner Tools的设计流程

5系统方案设计

异或门可由两个反相器加上一个传输门组成,但本次设计为了增加难度,先设计一个同或门,再添加器件变成异或门。操作方法是先用两个反相器和一个传输门设计一个同或门,然后再在此同或门的输出端口加上一个反相器,由此变成异或门。设计使用4个NMOS管和4个POMS管。其电路图如图2所示。

图2 异或门电路图

6异或门电路图和版图设计及仿真

6.1异或门CMOS电路图设计仿真

异或门CMOS电路图设计使用工具Tanner Tools中的S-Edit组件,组件自带元件库,型号比较全面。设计所使用到的PMOS管和NMOS管都是从其元件库中调取的,PMOS管设置参数分别如图3所示。

图3PMOS管参数

NMOS管设置参数分别如图4所示。

图4 NMOS管参数

通过调取元件库里的4个PMOS管和4个NMOS管,按照本次设计的异或门设计方案将管子位置合理安排好,用导线将各个元器件管脚相连,并加上电源和A、B 输入端的波形发生器,最后把输入和输出的节点用PrintVoltage分别标出,以便

仿真时候显示各个端口的波形。画出的电路图如图5所示。

图5 异或门CMOS电路图

电路原理图设计完成后需要对电路图进行电路仿真,以便检测电路是否有

逻辑错误。电路仿真是检查电路设计是否成功的首要依据,同时,也能够更好、

更快、更简便地发现电路设计中可能存在的问题。仿真之前先要对仿真器进行设

置。点击工具栏的setup simulation,先选择general选项,在library file一

栏输入库文件的路径,路径用半角符号单引号括住,并且在后面加上字母tt。然

后勾选Transient/Fouier Analysis选项,Stop Time一栏输入1us,Maximum Time Step一栏输入10ns。然后点击OK保存。这样就可以进行仿真了。仿真结果如图6所示。

图6异或门CMOS电路仿真

从图6中可以看到,当A端口高电平,B端口高电平时,输出端口OUT为低电平;当A端口低电平,B端口高电平时,输出端口OUT为高电平,与设计功能相符,即电路图没有错误,可以作为版图设计的依据。

6.2 异或门CMOS版图设计及仿真

6.2.1 异或门CMOS版图设计

异或门CMOS电路版图设计中所使用到的工具是Tanner Tools中的L-Edit组件,在绘制整个版图前,首先要进行替代设置,接下来才进行绘制基本单元的设计,根据版图设计规则设计基本单元,如PMOS、NMOS等。

绘制PMOS布局图要用到的图层包括N Well图层、Active图层、N Select图层、Poly图层、Metal1图层、Metal2图层、Active Contact图层,其中,N Well 宽为26个格点,高为15个格点,Active宽为14个格点,高为5个格点:Poly 宽为2个格点,高为9个格点:P Select宽为18个格点,高为9个格点;两个Active Contact宽皆为2个格点,高皆为2个格点:两个Metal1宽皆为4个格点,高皆为4个格点。PMOS基本单元版图如图7所示。

图7 PMOS 单元版图

绘制NMOS布局图要用到的图层包括N Select图层、Active图层、Poly图层、Metal1图层、Active Contact图层,其中,Active宽为14个格点,高为5个格点:Poly宽为2个格点,高为9个格点:N Select宽为18个格点,高为9个格点;两个Active Contact宽皆为2个格点,高皆为2个格点:两个Metal1 宽皆为4个格点,高皆为4个格点。NMOS基本单元版图如图8所示。

图8 NMOS 单元版图

由于PMOS的基板也需要接通电源,故需要在N Well上面建立一个欧姆节点,其方法为在N Well上制作一个N 型扩散区,再利用Active Contact将金属线接至此N 型扩散区。N 型扩散区必须在N Well 图层绘制出Active 图层与N Select 图层,再加上Active Contact 图层与Metal1 图层,使金属线与扩散区接触。PMOS

基板节点组件版图如图9所示。

图9 PMOS基板节点组件基本单元版图

由于NMOS的基板也需要接地,故需要在P Base 上面建立一个奥姆节点,其方法为在P Base 上制作一个P 型扩散区,再利用Active Contact 将金属线接至此P 型扩散区。P 型扩散区必须绘制出Active 图层与P Select 图层,再加上ActiveContact图层与Metal1 图层,使金属线与扩散区接触。NMOS 基板节点组件如图10所示。

图10 NMOS基板节点组件基本单元版图

画好四个基本组件就可以对异或门整体版图进行设计了。画输入端口:由于有几个个输入端口,且输入信号是从闸极(Poly)输入,由于此范例使用技术设定为MOSI/Orbit 2U SCNAMEMS,输入输出信号由Metal2 传入,故一个反相器输入端口需要绘制Metal2 图层、Via 图层、Metal1 层、Poly ontact图层与Poly 图层,才能将信号从Metal2 图层传至Poly 层。在画图是让两个Metal1图层连接

时使用Metal2图层连接,用Via 图层把Metal1图层与Metal2图层连接起来。同时在标注输入输出时除了电源Vdd和GND标注在Metal1图层,其他的都要标注在Metal2图层上。异或门版图如图11所示。

图11 异或门版图

异或门版图截面图如图12所示。

图12 异或门版图截面图

6.2.2 异或门CMOS版图仿真

对异或门版图进行设计规则检查,检查无误后就可以仿真了,点击工具选择Extract Setup....设置好后把Generic_025.lib文件拷贝到所建立的工程文件夹下,然后进行提取。这时在工程文件下生成.spc文件。打开后把相关信息

填入,相关信息如下:

vvdd VDD GND 5

va A GND PULSE (0 5 0 5n 5n 80n 160n)

vb B GND PULSE (0 5 0 5n 5n 100n 200n)

.tran 1n 400n

.plot V(OUT) V(A) V(B)

然后进行仿真并把重叠的波形展开,其仿真结果如图13所示。

图13 异或门CMOS版图仿真

6.3LVS对比异或门

版图和电路对比是进行对比生成的.sp 文件和.spc文件,用S-edit生成.sp 文件,用L-edit生成.spc文件,打开发现MOS管的长宽参数是不完全相同的,这时候进行对比会提示不完全匹配。如果想要匹配,就必须到版图里或者电路图里修改参数,参数一样才会匹配。后用LVS组件进行对比,对比之前也要先对LVS 设置,经过设置组件的参数,再把.sp 文件和.spc文件分别导入软件中,点击验证、选择运行进行对比。用S-edit生成.sp文件如图14。

图14 异或门电路图网表文件用L-edit生成.spc文件如图15所示。

图15 异或门版图网表文件LVS对比过程如图16所示。

图16 LVS对比过程

7调试结果与分析

经过多次调试最终得出本次课程设计的结果,认真地观察异或门的版图与电路图仿真出来的波形,对其输入输出的波形分析得出结果如下:当异或门的两个输入端的电平相异,则其输出端为高电平(1);反之,当异或门的两个输入端的电平相同,则其输出端为低电平(0)。设计得出的结果符合异或门的逻辑。本次课程设计实验结果和理论结果完全一致,异或门的功能得到验证。

8课程设计体会

这次课程设计,我学到了很多东西。为了完成这次课程设计,我进行了大量的准备,通过互联网、图书馆以及翻看课本等方式查阅了很多资料,对异或门进行了充分的了解,对Tanner Tools软件工具进行细致的了解,和做了很多练习,掌握了基本的操作,对《CMOS集成电路设计基础》课本上有关CMOS电路和TTL电路等一系列知识又进行了一次认真的复习。与此同时,我不仅在图书馆查阅了一些课外文献,更是通过互联网与其他人进行了交流探讨,得到了一些宝

贵的资料。互联网使得学习的空间更加开放,眼界不再被局限在课本教材,知识

量能够增加很多。

通过本次设计异或门逻辑电路,我对COMS集成电路设计又有了更深刻的认识与理解。同时,我了解了一个COMS集成电路从研发到制作再到生产的一系列流程,更为我以后的就业做了一定的铺垫,也让我认识到了理论与生产实践的根本区别以及联系。在设计之前我必须认真小心,因为有一点点的失误就可能导致我的设计进程停滞不前,甚至需要重头再来,耗时耗力,得不偿失。同时,还不能贪图捷径、一味图快,抑或妄想省力、耍些小聪明,再则疏忽大意,不去按照设计规则去做,省去了一些设计流程,结果恰恰就是这些原因使自己的设计错误不断。

同时,我提高了系统分析能力,认识到事物之间的联系性、系统性。首先,在今后的学习工作中,我要学会把系统分析的思想运用到生活之中;其次,我要提高运用辨证的眼光来看待生活中的方方面面,从而提升自己的工作能力,这将会使我更加理性、更加客观、更加全面地看待与分析事物。在工程运用的方面,虽然很多芯片具有相似的功能,但是要根据实际情况,综合地考虑实际电路的需求,运用系统分析思维方式来挑选最适合的芯片。其实,学以致用,就是要我们把学习中学会的知识、思维方式、思想方法运用到实际生活中。

参考文献

[1]贾新章,郝跃,吴玉广.微电子技术概论[M].北京:高等教育出版社,2004.

[2]韩燕.专用集成电路设计基础[M].成都:电子科技大学出版社,2001.

[3]王志功,沈永朝.集成电路设计基础[M].北京:电子工业出版社,2004.

[4]John F Warkly.Digital Design Principle and Practices.3rd

ed.Pearson Education,2000.

[5]侯建军.数字电子技术基础[M]. 高等教育出版社.2007.

[6]薛忠杰.CMOS门电路延迟时间经验模型与估算[J]. 中国集成电路,总第3期.

[7]朱正涌.半导体集成电路[M].北京:清华大学出版社,2001.

[8]孙东丰,纪玲.D触发器在开关信号中的应用[J].中国高新技术企业,2008.

[9]周润德.数字集成电路设计课件[M].清华大学,2004.

[10]阎石.数字电子技术基础[M](第四版)[M].北京:高等教育出版社,1998.3. .

CMOS逻辑电路

CMOS逻辑电路 CMOS是单词的首字母缩写,代表互补的金属氧化物半导 体(Complementary Metal-Oxide-Semiconductor),它指的是 一种特殊类型的电子集成电路(IC)。集成电路是一块微小的硅 片,它包含有几百万个电子元件。术语IC隐含的含义是将多个 单独的集成电路集成到一个电路中,产生一个十分紧凑的器件。在通常的术语中,集成电路通常称为芯片,而为计算机应用设计的IC称为计算机芯片。 虽然制造集成电路的方法有多种,但对于数字逻辑电路而言CMOS是主要的方法。桌面个人计算机、工作站、视频游戏以及其它成千上万的其它产品都依赖于CMOS 集成电路来完成所需的功能。当我们注意到所有的个人计算机都使用专门的CMOS 芯片,如众所周知的微处理器,来获得计算性能时, CMOS IC的重要性就不言而喻了。CMOS之所以流行的一些原因为: ?逻辑函数很容易用CMOS电路来实现。 ?CMOS允许极高的逻辑集成密度。其含义就是逻辑电路可以做得非常小,可以制造在极小的面积上。 ?用于制造硅片CMOS芯片的工艺已经是众所周知,并且CMOS芯片的制造和销售价格十分合理。 这些特征及其它特征都为CMOS成为制造IC的主要工艺提供了基础。 CMOS可以作为学习在电子网络中如何实现逻辑功能的工具。CMOS它允许我们用简单的概念和模型来构造逻辑电路。而理解这些概念只需要基本的电子学概念。 CMOS逻辑门电路的系列及主要参数: 1.CMOS逻辑门电路的系列 CMOS集成电路诞生于20世纪60年代末,经过制造工艺的不断改进,在应用的广度上已与TTL平分秋色,它的技术参数从总体上说,已经达到或接近TTL的水平,其中功耗、噪声容限、扇出系数等参数优于TTL。CMOS集成电路主要有以下几个系列。 (1)基本的CMOS——4000系列。 这是早期的CMOS集成逻辑门产品,工作电源电压范围为3~18V,由于具有功耗低、噪声容限大、扇出系数大等优点,已得到普遍使用。缺点是工作速度较低,平均传输延迟时间为几十ns,最高工作频率小于5MHz。 (2)高速的CMOS——HC(HCT)系列。 该系列电路主要从制造工艺上作了改进,使其大大提高了工作速度,平均传输延迟时间小于10ns,最高工作频率可达50MHz。HC系列的电源电压范围为2~6V。HCT系列的主要特点是与TTL器件电压兼容,它的电源电压范围为4.5~5.5V。它的输入电压参数为VIH(min)=2.0V;VIL(max)=0.8V,与TTL完全相同。另外,

版图设计论文15篇

版图设计论文15篇 版图设计论文 摘要:集成电路版图设计教学应面向企业,按照企业对设计工程师的要求来安排教学,做到教学与实践的紧密结合。从教学开始就向学生灌输IC行业知识,定位准确,学生明确自己应该掌握哪些相关知识。从集成电路数字版图、模拟版图和逆向设计版图这三个方面就如何开展教学可以满足企业对版图工程师的要求展开探讨,安排教学有针对性。在教学方法与内容上做了分析探讨,力求让学生在毕业后可以顺利进入IC行业做出努力。 关键词 版图设计设计论文设计 版图设计论文:一种基于厚膜工艺的电路版图设计 摘要:在电子线路版图设计中,通常采用印刷线路板技术。如果结合厚膜工艺技术,可以实现元器件数目繁多,电路连接复杂,且安装空间狭小的电路版图设计。通过对3种不同电路版图设计方案的理论分析,确定了惟一能满足要求的设计方案。基于外形尺寸的要求,综合考虑电路的性能和元件的封装形式,通过合理的电路分割和布局设计,验证了设计方案的合理性和可实现性。体现了厚膜工艺技术在电路版图设计中强大的优越性,使一个按常规的方法无法实现的电路版图设计问题迎刃而解。 关键词:电路版图设计;电路分割设计;厚膜混合集成电路;厚膜工艺 0 引言 随着电子技术的飞速发展,对电子设备、系统的组装密度的要求越来越高,对电路功能的集成度、可靠性等都提出了更高的要求。电子产品不断地小型化、轻量化、多功能化。除了集成电路芯片的集成度越来越高外,电路结构合理的版图设计在体积小型化方面也起着举足轻重的作用。

1 厚膜工艺技术简述 厚膜工艺技术是将导电带和电阻通过丝网漏印、烧结到陶瓷基板上的一种工艺技术[1]。 厚膜混合集成电路是在厚膜工艺技术的基础上,将电阻通过激光精调后,再将贴片元器件或裸芯片装配到陶瓷基板上的混合集成电路[2]。 厚膜混合集成电路基本工艺流程图见图1。 图1 厚膜工艺流程图 厚膜工艺与印制板工艺比较见表1。 2 电路版图设计 2.1 设计要求 将电路原理图(图2,图3)平面化设计在直径为34 mm的PCB板上(对电路进行分析后无需考虑相互干扰),外形尺寸图见图4。其中:序列号及电源为需要引出的引脚。 表1 厚膜工艺与印制板工艺比较 图2 原理图(1)

CMOS异或门集成电路课程设计

课程设计任务书 学生姓名:王帅军专业班级:电子1103班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS异或门 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD和L-EDIT软件。 (2)设计一个CMOS异或门电路。 (3)利用ORCAD和L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2014.12.29布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2014.12.29-12.31学习ORCAD和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2015.1.1-1.8对CMOS异或门电路进行设计仿真工作,完成课设报告的撰写。 2015.1.9 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ...................................................................................................................................... I I 1绪论 (1) 2 异或门介绍 (2) 3仿真电路设计 (3) 3.1 ORCAD软件介绍 (3) 3.2仿真电路原理图 (4) 3.3仿真分析 (5) 4版图设计 (8) 4.1 L-EDIT软件介绍 (8) 4.2版图绘制 (8) 4.3 CMOS异或门版图DRC检查 (10) 5心得体会 (11) 参考文献 (12) 附录 (123)

异或门版图设计报告

西安科技大学 高新学院 微电子专业实验报告 专业:微电子 班级:1001 姓名:黄升 学号:1001050120 指导老师:王进军

设计软件:tanner软件 实验目的和要求: 1、掌握L-edit软件的基本设定和集成电路工艺和版图的图层关系。 2、根据性能和指标要求,明确设计要求和规则。 3、电路版图实现过程中电源线的走法。 4、掌握L-edit和S-edit仿真环境,完成异或门的仿真。 5、掌握LVS环境变量。 异或门版图的设计方法: 1、确定工艺规则。 2、绘制异或门版图。 3、加入工作电源进行分析。 4、与LVS比较仿真结果。 实验内容: 完成COMS异或门版图设计,COMS异或门原理如下,要求在S-edit 中画出每一电路元件,并给出输入输出端口及电源线和地线。(一)异或逻辑关系式及真值表:F=A⊕B=A′B+ AB′

(二)原理图: (三)版图:

(四)仿真分析: Main circuit:Module0 .include“E:\ProgramFiles\tannerEDA\T-Spice10.1\models\m12_125.md M1 N3 A Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 F B N3 Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M3 F N3 B Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M4 N3 A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M5 F B A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M6 F A B Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v7 Vdd Gnd 5.0 v8 B Gnd pulse(0.05.00 In In 100n 200n) v9 A Gnd pulse(0.05.00 In In 100n 400n) .tran In 800n .print tran v(A) v(B) v(F) End of main circuit:Module0

MOS管及简单CMOS逻辑门电路原理图

MOS管及简单CMOS逻辑门电路原理图 现代单片机主要是采用CMOS工艺制成的。 1、MOS管 MOS管又分为两种类型:N型和P型。如下图所示: 以N型管为例,2端为控制端,称为“栅极”;3端通常接地,称为“源极”;源极电压记作Vss,1端接正电压,称为“漏极”,漏极电压记作VDD。要使1端与3端导通,栅极2上要加高电平。 对P型管,栅极、源极、漏极分别为5端、4端、6端。要使4 端与6端导通,栅极5要加低电平。 在CMOS工艺制成的逻辑器件或单片机中,N型管与P型管往往是成对出现的。同时出现的这两个CMOS管,任何时候,只要一只导通,另一只则不导通(即“截止”或“关断”),所以称为“互补型CMOS管”。 2、CMOS逻辑电平 高速CMOS电路的电源电压VDD通常为+5V;Vss接地,是0V。 高电平视为逻辑“1”,电平值的范围为:VDD的65%~VDD(或者~VDD)

低电平视作逻辑“0”,要求不超过VDD的35%或0~。 +~+应看作不确定电平。在硬件设计中要避免出现不确定电平。 近年来,随着亚微米技术的发展,单片机的电源呈下降趋势。低电源电压有助于降低功耗。VDD为的CMOS器件已大量使用。在便携式应用中,VDD为,甚至的单片机也已经出现。将来电源电压还会继续下降,降到,但低于VDD的35%的电平视为逻辑“0”,高于VDD的65%的电平视为逻辑“1”的规律仍然是适用的。 3、非门 非门(反向器)是最简单的门电路,由一对CMOS管组成。其工作原理如下:A端为高电平时,P型管截止,N型管导通,输出端C的电平与Vss保持一致,输出低电平;A端为低电平时,P型管导通,N型管截止,输出端C的电平与V一致,输出高电平。 4、与非门

CMOS逻辑门电路

CMOS逻辑门电路 CMOS逻辑门电路是在TTL电路问世之后,所开发出的第二种广泛应用的数字集成器件,从发展趋势来看,由于制造工艺的改进,CMOS电路的性能有可能超越TTL而成为占主导地位的逻辑器件。CMOS电路的工作速度可与TTL 相比较,而它的功耗和抗干扰能力则远优于TTL。此外,几乎所有的超大规模存储器件,以及PLD器件都采用CMOS艺制造,且费用较低。 早期生产的CMOS门电路为4000系列,随后发展为4000B系列。当前与TTL兼容的CMO器件如74HCT系列等可与TTL器件交换使用。下面首先讨论CMOS反相器,然后介绍其他CMO逻辑门电路。 MOS管结构图 MOS管主要参数: 1.开启电压V T ·开启电压(又称阈值电压):使得源极S和漏极D之间开始形成导电沟道所需的栅极电压; ·标准的N沟道MOS管,V T约为3~6V; ·通过工艺上的改进,可以使MOS管的V T值降到2~3V。 2. 直流输入电阻R GS ·即在栅源极之间加的电压与栅极电流之比 ·这一特性有时以流过栅极的栅流表示 ·MOS管的R GS可以很容易地超过1010Ω。 3. 漏源击穿电压BV DS ·在V GS=0(增强型)的条件下,在增加漏源电压过程中使I D开始剧增时的V DS称为漏源击穿电压BV DS ·I D剧增的原因有下列两个方面: (1)漏极附近耗尽层的雪崩击穿 (2)漏源极间的穿通击穿 ·有些MOS管中,其沟道长度较短,不断增加V DS会使漏区的耗尽层一直扩展到源区,使沟道长度为零,即产生漏源间的穿通,穿通后 ,源区中的多数载流子,将直接受耗尽层电场的吸引,到达漏区,产生大的I D 4. 栅源击穿电压BV GS ·在增加栅源电压过程中,使栅极电流I G由零开始剧增时的V GS,称为栅源击穿电压BV GS。 5. 低频跨导g m ·在V DS为某一固定数值的条件下,漏极电流的微变量和引起这个变化的栅源电压微变量之比称为跨导

CMOS三态门集成电路课程设计

集成电路课程设计 前言 集成电路在当今社会中发挥着越来越重要的作用。也越来越成为衡量一个国家高科技技术水平的重要指标。作为一门重要的课程,集成电路课程设计是电子科学与技术专业要求的实践课程,主要目的是使学生熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础。提高学生综合运用已掌握的知识,利用相关软件,进行集成电路芯片的能力。集成电路设计主要包括以下几个方面。系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 1.设计需求分析 1.1设计内容及其性能指标要求 器件名称:CMOS三态门器件 要求电路性能指标: (1)输出高电平时,|I OH |≤20μA,V OH,min =5V; (2)输出底电平时,|I OL |≤4mA,V OL,man =0V; (3)输出级充放电时间t r =t f ,t pd <25ns; (4)工作电源5V,常温工作,工作频率f work =100HZ,最大功耗P max = 150mW。 1.2设计指标 1.独立完成设计三态门芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12; 3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到设计要各项指标要求。 2.设计实现

2.1三态门芯片简介 所谓三态门(TG)就是一种传输模拟信号的模拟开关。CMOS三态门门由一个P沟道和一个N沟道增强型MOSFET并联而成,如下图所示。它的管脚图如图1所示,其逻辑真值表如表1所示: 三态门原理图 图1 三态门芯片管脚图 表1 三态门真值表

PCB版图设计报告

兰州交通大学电信学院课程设计实验报告 实验名称:负反馈放大电路PCB设计 无线话筒PCB设计(选作) 试验日期: 2012年6月25日 班级: 电子科学与技术092班 姓名: 刘光智 学号: 200910112

Altium designer简介 Altium Designer 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Designer 在单一设计环境中集成板级和FPGA系统设计、基于FPGA和分立处理器的嵌入式软件开发以及PCB版图设计、编辑和制造。并集成了现代设计数据管理功能,使得Altium Designer成为电子产品开发的完整解决方案-一个既满足当前,也满足未来开发需求的解决方案。 一、实验目的 1.了解并学会运用Altium designer软件绘制简单PCB 2.会运用Alitum designer软件设计库元件 3.掌握印刷电路板布线流程 4.掌握印刷电路板设计的基本原则 二、设计内容 1.要求用Alitum designer软件画出电路原理图 2.按照所画原理图自动生成PCB版图 3.会自己设计元件和库 三、实验步骤(负反馈放大器PCB设计) 1、新建工程、为工程添加项目:在D盘新建一个自己的文件夹重命名为ffk,运行Alitum designer软件,然后单击文件/新建/工程/PCB工程,然后右击所建的PCB工程选择给工程添加原理图,然后添加PCB,建完PCB工程保存工程到D/ffk内,保存时三个文件都命名为ffk.扩展名 2、画原理图:在原理图窗口画出所要画的PCB原理图,本次实验所画电路图如图1: 图1 3、对所画电路图进行编译:点击工程/Compile Document mic.SchDoc,然后点击工程/Compile PCB Project PCB_mic.PrjPCB,然后打开Messages窗口查看编译结果,若有错误按照提示对错误进行改正再编译,直至没有错误结束编译

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

版图设计实验报告

版图设计实验报告 课程名称:集成电路版图设计 姓名: 学号; 专业;电子科学与技术 教师;老师

目录 (一)实验目的 (3) (二)实验步骤 (4) 1,搭建环境···································································································· 2,运用ic6151··························································································· 3,作图··········································································································· 4,Run DRC·························································································· 5,画原理图··························································································· 6,Run LVS········································································································(三)实验总结·················································································································

运算放大器电路及版图设计报告

目录 摘要 (2) 第一章引言 (3) 第二章基础知识介绍 (4) 2.1 集成电路简介 (4) 2.2 CMOS运算放大器 (4) 2.2.1理想运放的模型 (4) 2.2.2非理想运算放大器 (5) 2.2.3运放的性能指标 (5) 2.3 CMOS运算放大器的常见结构 (6) 2.3.1单级运算放大器 (6) 2.3.2简单差分放大器 (6) 2.3.3折叠式共源共栅(Folded-cascode)放大器 (7) 2.4版图的相关知识 (8) 2.4.1版图介绍 (8) 2.4.2硅栅CMOS工艺版图和工艺的关系 (8) 2.4.3 Tanner介绍 (9) 第三章电路设计 (10) 3.1总体方案 (10) 3.2各级电路设计 (10) 3.2.1第三级电路设计 (10) 3.2.2第二级电路设计 (11) 3.2.3第一级电路设计 (12) 3.2.4三级运放整体电路图及仿真结果分析 (14) 第四章版图设计 (15) 4.1版图设计的流程 (15) 4.1.1参照所设计的电路图的宽长比,画出各MOS管 (15) 4.1.2 布局 (17) 4.1.3画保护环 (17) 4.1.4画电容 (17) 4.1.5画压焊点 (18) 4.2 整个版图 (19) 第五章 T-Spice仿真 (21) 5.1提取T-Spice文件 (21) 5.2用T-Spice仿真 (24) 5.3仿真结果分析 (26) 第六章总结 (27) 参考文献 (28)

摘要 本次专业综合课程设计的主要内容是设计一个CMOS三级运算跨导放大器,该放大器可根据不同的使用要求,通过开关的开和闭,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。用ORCAD画电路图,设计、计算宽长比,仿真,达到要求的技术指标,逐级进行设计仿真。然后用L-Edit软件根据设计的宽长比画版图,最后通过T-Spice仿真,得到达到性能指标的仿真结果。 设计的主要结果归纳如下: (1)运算放大器的基本工作原理 (2)电路分析 (3)设计宽长比 (4)画版图 (5)仿真 (6)结果分析 关键词:CMOS运算跨导放大器;差分运放;宽长比;版图设计;T-Spice仿真

与门电路和与非门电路原理

什么就是与门电路及与非门电路原理? 什么就是与门电路 从小巧的电子手表,到复杂的电子计算机,它们的许多元件被制成集成电路的形式,即把几十、几百,甚至成干上万个电子元件制作在一块半导体片或绝缘片上。每种集成电路都有它独特的作用。有一种用得最多的集成电路叫门电路。常用的门电路有与门、非门、与非门。 什么就是门电路 “门”顾名思义起开关作用。任何“门”的开放都就是有条件的。例如.一名学生去买书包,只买既好瞧又给买的,那么她的家门只对“好瞧”与“结实”这两个条件同时具备的书包才开放。 门电路就是起开关作用的集成电路。由于开放的条件不同,而分为与门、非门、与非门等等。 与门 我们先学习与门,在这之前请大家先瞧图15-16,懂得什么就是高电位,什么就是低电位。 图15-17甲就是我们实验用的与用的与门,它有两个输入端A、B与一个输出端。图15-17乙就是它连人电路中的情形,发光二极管就是用来显示输出端的电位高低:输出端就是高电位,二极管发光;输出端就是低电位,二极管不发光。

实验 照图15-18甲、乙、丙、丁的顺序做实验。图中由A、B引出的带箭头的弧线,表示把输入端接到高电位或低电位的导线。每次实验根据二极管就是否发光,判定输出端电位的高低。 输入端着时,它的电位就是高电位,照图15-18戊那样,让两输人端都空着,则输出瑞的电位就是高电位,二极管发光。 可见,与门只在输入端A与输入端B都就是高电位时,输出端才就是高电位;输入端A、B只要有一个就是低电位,或者两个都就是低电位时,输出端也就是低电位。输人端空着时,输出端就是高电位。 与门的应用

图15-19就是应用与门的基本电路,只有两个输入端A、B同低电位间的开关同时断开,A与B才同时就是高电位,输出端也因而就是高电位,用电器开始工作。 实验 照图15-20连接电路。图中输入端与低电位间连接的就是常闭按钮开关,按压时断开,不压时接通。 观察电动机在什么情况下转动。 如果图15-20的两个常闭按钮开关分别装在汽车的前后门,图中的电动机就是启动汽车内燃机的电动机,当车间关紧时常闭按钮开关才能被压开,那么这个电路可以保证只有两个车门都关紧时汽车才能开动。 与非门,与非门就是什么意思 DTL与非门电路: 常将二极管与门与或门与三极管非门组合起来组成与非门与或非门电路,以消除在串接时产生的电平偏离, 并提高带负载能力。

异或门变同或门集成电路设计

课程设计 同或门集成电路设计 学生姓名: 学院: 专业班级: 专业课程: 指导教师: 201 年月日

1 绪论 1.1 设计背景 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,L-Edit软件的的版图设计软件帮助设计者在图形方式下绘制版图。 1.2 Tanner 软件介绍 Tanner Pro 的设计流程很简单。将要设计的电路先以S-Edit编辑出电路图,再将该电路图输出成SPICE文件。接着利用T-Spice将电路图模拟并输出成SPICE文件,如果模拟结果有错误,则回到S-Edit检查电路图,如果T-Spice 模拟结果无误,则以L-Edit进行布局图设计。用L-Edit进行布局图设计后要以DRC功能做设计规则检查,若违反设计规则,再将布局图进行修改直到设计规则检查无误为止。将验证过的布局图转化成SPICE文件,再利用T-Spice模拟,若有错误,再回到L-Edit修改布局图。最后利用LVS将电路图输出的SPICE文件与布局图转化的SPICE文件进行对比,若对比结果不相等,则回去修正L-Edit 或S-Edit的图。直到验证无误后,将L-Edit设计好的布局图输出成GDSII文件类型,再交由工厂去制作整个电路所需的掩膜板。 1.3 设计目标

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

二输入与非门、或非门版图设计

课程名称Course 集成电路设计技术 项目名称 Item 二输入与非门、或非门版图 设计 与非门电路的版图: .spc文件(瞬时分析): * Circuit Extracted by Tanner Research's L-Edit V7.12 / Extract V4.00 ; * TDB File: E:\cmos\yufeimen, Cell: Cell0 * Extract Definition File: C:\Program Files\Tanner EDA\L-Edit\spr\morbn20.ext * Extract Date and Time: 05/25/2011 - 10:03 .include H:\ml2_125.md VPower VDD GND 5 va A GND PULSE (0 5 0 5n 5n 100n 200n)

vb B GND PULSE (0 5 0 5n 5n 50n 100n) .tran 1n 400n .print tran v(A) v(B) v(F) * WARNING: Layers with Unassigned AREA Capacitance. * * * *

* *

* WARNING: Layers with Unassigned FRINGE Capacitance. * * * * *

* *

* * WARNING: Layers with Zero Resistance. * * * * * NODE NAME ALIASES * 1 = VDD (34,37) * 2 = A (29.5,6.5) * 3 = B (55.5,6.5) * 4 = F (42.5,6.5) * 6 = GND (25,-22) M1 VDD B F VDD PMOS L=2u W=9u AD=99p PD=58u AS=54p PS=30u * M1 DRAIN GATE SOURCE BULK (47.5 14.5 49.5 23.5) M2 F A VDD VDD PMOS L=2u W=9u AD=54p PD=30u AS=99p PS=58u * M2 DRAIN GATE SOURCE BULK (39.5 14.5 41.5 23.5) M3 F B 5 GND NMOS L=2u W=9.5u AD=52.25p PD=30u AS=57p PS=31u * M3 DRAIN GATE SOURCE BULK (47.5 -18 49.5 -8.5) M4 5 A GND GND NMOS L=2u W=9.5u AD=57p PD=31u AS=52.25p PS=30u * M4 DRAIN GATE SOURCE BULK (39.5 -18 41.5 -8.5) * Total Nodes: 6 * Total Elements: 4 * Extract Elapsed Time: 0 seconds .END 与非门电路仿真波形图(瞬时分析):

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

版图设计实验报告

实验报告册 课程名称:集成电路版图设计教程姓名: 学号: 院系: 专业: 教师: 2016 年5 月15 日 实验一: OP电路搭建

一、实验目的: 1.搭建实体电路。 2.为画版图提供参考。 3.方便导入网表。 4.熟悉使用cadence。 二、实验原理和内容: 根据所用到的mn管分析各部分的使用方法,简化为几个小模块,其中有两个差分对管。合理运用匹配规则,不同的MOS管可以通过打孔O来实现相互的连接。 三、实验步骤: 1.新建设计库。在file→new→library;在name输入自己的学号;右边选择:attch to……;选择sto2→OK。然后在tools→library manager下就可看到自己建的库。 2.新建CellView。在file→new→CellView;cell栏输入OP,type →选择layout。 3.加器件。进入自己建好的电路图,选择快捷键I进行调用器件。MOS 管,在browse下查找sto2,然后调用出自己需要的器件。

4.连线。注意:若线的终点没有别的电极或者连线,则要双击左键才能终止画线。一个节点只能引出3根线。无论线的起点或是终点,光标都应进入红色电极接电。 5.加电源,和地符号。电源Vdd和地Vss的符号在analoglib库中选择和调用,然后再进行连线。 (可以通过Q键来编辑器件属性,把实验规定的MOS管的width和length数据输入,这样就可以在电路图的器件符号中显示出来) 6.检查和保存。命令是check and save。(检查主要针对电路的连接关系:连线或管脚浮空,总线与单线连接错误等)如果有错和警告,在‘schematic check’中会显示出错的原因,可以点击查看纠正。(画完后查看完整电路按快捷键F,连线一定要尽量节约空间,简化电路) 四、实验数据和结果: 导出电路网表的方法:新建文件OP,file→Export→OP(library browser 选NAND2),NAND.cdl,Analog √

与门电路和与非门电路原理

什么是与门电路及与非门电路原理? 什么是与门电路 从小巧的电子手表,到复杂的电子计算机,它们的许多元件被制成集成电路的形式,即把几十、几百,甚至成干上万个电子元件制作在一块半导体片或绝缘片上。每种集成电路都有它独特的作用。有一种用得最多的集成电路叫门电路。常用的门电路有与门、非门、与非门。 什么是门电路 “门”顾名思义起开关作用。任何“门”的开放都是有条件的。例如?一名学生去买书包,只买既好看又给买的,那么他的家门只对“好看”与“结实”这两个条件同时具备的书包才开放。 门电路是起开关作用的集成电路。由于开放的条件不同,而分为与门、非门、与非门等等。 与门 我们先学习与门,在这之前请大家先看图15-16,懂得什么是高电位,什么是低电位。 图15-17甲是我们实验用的与用的与门,它有两个输入端A、E和一个输出端。图15-17乙是它连人电 路中的情形,发光二极管是用来显示输出端的电位高低:输出端是高电位,二极管发光;输出端是低电位,二极管不发光。 实验 照图15-18甲、乙、丙、丁的顺序做实验。图中由A、B引出的带箭头的弧线,表示把输入端接到高电位或低电位的导线。每次实验根据二极管是否发光,判定输岀端电位的高低。

输入端着时,它的电位是高电位,照图15-18戊那样,让两输人端都空着,则输岀瑞的电位是高电位, 二极管发光。 可见,与门只在输入端A与输入端E都是高电位时,输岀端才是高电位;输入端A、E只要有一个是低电位,或者两个都是低电位时,输岀端也是低电位。输人端空着时,输岀端是高电位。 与门的应用 图15-19是应用与门的基本电路,只有两个输入端A、E同低电位间的开关同时断开,A与E才同时是高电位,输出端也因而是高电位,用电器开始工作。 实验 照图15-20连接电路。图中输入端与低电位间连接的是常闭按钮开关,按压时断开,不压时接通 观察电动机在什么情况下转动。 如果图15-20的两个常闭按钮开关分别装在汽车的前后门,图中的电动机是启动汽车内燃机的电动机, 当车间关紧时常闭按钮开关才能被压开,那么这个电路可以保证只有两个车门都关紧时汽车才能开动。与非门,与非门是什 么意思

3.1 MOS逻辑门电路解析

3逻辑门电路 3.1 MOS逻辑门电路 3.2TTL逻辑门电路 *3.3射极耦合逻辑门电路 *3.4砷化镓逻辑门电路 3.5逻辑描述中的几个问题 3.6逻辑门电路使用中的几个实际问题* 3.7用VerilogHDL描述逻辑门电路

3.逻辑门电路 教学基本要求: 1.了解半导体器件的开关特性。 2.熟练掌握基本逻辑门(与、或、与非、或非、异或门)、三态门、OD门(OC门)和传输门的逻辑功能。 3.学会门电路逻辑功能分析方法。 4.掌握逻辑门的主要参数及在应用中的接口问题。

3.1 MOS逻辑门 3.1.1数字集成电路简介 3.1.2逻辑门的一般特性 3.1.3MOS开关及其等效电路 3.1.4CMOS反相器 3.1.5CMOS逻辑门电路 3.1.6CMOS漏极开路门和三态输出门电路3.1.7CMOS传输门 3.1.8CMOS逻辑门电路的技术参数

1 . 逻辑门:实现基本逻辑运算和复合逻辑运算的单元电路。 2. 逻辑门电路的分类 二极管门电路 三极管门电路 TTL 门电路 MOS 门电路 PMOS 门 CMOS 门 逻辑门电路 分立门电路 集成门电路 NMOS 门 3.1.1 数字集成电路简介

1.CMOS 集成电路: 广泛应用于超大规模、甚大规模集成电路 4000系列 74HC 74HCT 74VHC 74VHCT 速度慢 与TTL 不兼容 抗干扰 功耗低 74LVC 74VAUC 速度加快 与TTL 兼容 负载能力强 抗干扰 功耗低 速度两倍于74HC 与TTL 兼容 负载能力强 抗干扰 功耗低 低(超低)电压 速度更加快 与TTL 兼容 负载能力强 抗干扰功耗低 74系列 74LS 系列 74AS 系列 74ALS 2.TTL 集成电路: 广泛应用于中、大规模集成电路 3.1.1 数字集成电路简介

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

相关文档
最新文档