交通信号灯自动控制系统(最优_完整)

交通信号灯自动控制系统(最优_完整)
交通信号灯自动控制系统(最优_完整)

交通信号灯自动控制系统

——设计报告原理图:

一、设计要求

本设计要求与交通信号实际控制一致,采用LED模拟信号灯,信号灯分东西、南北二组,分别有红、黄、绿三色。其工作状态由程序控制,启动、停止按钮分别控制信号灯的启动与

停止。白天/黑夜转换开关可对信号进行控制转换。并且要求能用两位数码管(或者一位数码管)来显示红灯或者绿灯等待的时间,在黄灯的时候数码管不显示。信号灯的控制要求如下:

⑴假设东西方向交通繁忙为主干道,车流量为南北交通的两倍。因此东西方向的绿灯通行时间为是南北方向上的两倍。

⑵开始时东西方向绿灯先亮,南北为红灯。

⑶按下启动按钮开始工作,,按下停止按钮,停止工作。白天/黑夜转换开关闭合时为黑夜工作状态,这时只有黄灯来回闪烁,断开为白天工作状态。白天工作状态要求:东西方向绿灯亮40s,然后黄灯闪三下(1下/秒,共5秒),然后红灯亮20s,而南北方向为红灯亮40s 然后绿灯亮20s,然后黄灯也闪三下;如此周期循环下去。

二、示意图

图2 交通信号灯示意

2 系统总体方案及硬件设计

2.1芯片的选择与简单介绍

主控芯片采用AT89S52单片机(其管脚图如图-1所示)。单片

机,亦称单片微型计算机。它是把中央处理器(CPU)、数据存储器

(RAM)、程序存储器(ROM)、输入/输出端口(I/0)等主要计

算机功能部件都集成在一块集成电路芯片上的微型计算机。计算

机的产生加快了人类改造世界的步伐,但是它毕竟体积大。于是,

微型计算机(即单片机)在这种情况下诞生了。纵观生活的各个

领域,从导弹的导航装置,到飞机上各种仪表的控制,从计算机

的网络通讯与数据传输,到工业自动化过程的实时控制和数据处

理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,

(图-1)

这些都离不开单片机。

单片机以体积小、功能全、性价比等诸多优点而独具特色,

在工业控制、尖端武器、通信设备、家用电器等嵌入式应用领域中独占鳌头。如果说C语言程序设计课程设计的基础课,那么单片机以其系统硬件构架完整、价格低廉、学生能动手等特点,成为工科学生硬件设计基础课。

MCS-51单片机是指由美国INTEL公司(大名鼎鼎的INTEL)生产的一系列单片机的总称,这一系列单片机包括了好些品种,如8031,8051,8751,8032,8052,8752等,其中8051是最早最典型的产品,该系列其它单片机都是在8051的基础上进行功能的增、减、改变而来的,所以人们习惯于用8051来称呼MCS-51系列单片机。

8051单片机包含中央处理器(CPU)、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线,现在我们分别加以说明:

1.中央处理器(CPU)

中央处理器(CPU)是整个单片机的核心部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。

2.数据存储器(RAM)

8051内部有128个8位用户数据存储单元和128个专用寄存器单元,它们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放用户数据,所以,用户能使用的RAM只有128个,可存放读写的数据,运算的中间结果或用户定义的字型表。

3.程序存储器(内部ROM):

程序存储器用于存放程序和固定不变的常数等。通常采用只读存储器,且其又多种类型,在89系列单片机中全部采用闪存。AT89S51内部配置了4KB 闪存。 3.1.定时/计数器(ROM):

定时/计数器用于实现定时和计数功能。AT89S51共有2个16位定时/计数器。 3.2.并行输入输出(I/O)口:

8051共有4组8位I/O 口(P0、 P1、P2或P3),用于对外部数据的传输。每个口都由1个锁存器和一个驱动器组成。它们主要用于实现与外部设备中数据的并行输入与输出,有些I/O 口还有其他功能。 4.全双工串行口:

A89S51内置一个全双工串行通信口,用于与其它设备间的串行数据传送,该串行口既可以用作异步通信收发器,也可以当同步移位器使用。 5.时钟电路:

时钟电路的作用是产生单片机工作所需要的时钟脉冲序列。 6.中断系统:

中断系统的作用主要是对外部或内部的终端请求进行管理与处理。AT89S51共有5个中断源,其中又2个外部中断源和3个内部中断源。

7.定时/计数器

8051有两个16位的可编程定时/计数器,以实现定时或计数产生中断用于控制程序转向。

2.2系统方框图

电 源

交通灯

A T89S52

交通灯时间显示部分

时钟电路

复 位 电 路

2.3工作原理

由软件设置交通灯的初始时间,南北方向通行30秒,东西方向通行20秒,数码管采用动态

显示,P0口送字形码,P2口送字位选通信号,通过单片机的P1口控制各种信号灯的燃亮与熄灭。采用中断方式实现按键的功能。

2.4电路原理图

东西方向 EW

G Y R

南北方向 NS

G Y R

系统控制 电路

启动、夜间转换 返回

2.5单片机最小系统

(图-3)(图-2)

单片机最小系统以80S52为核心,外加时钟和复位电路,电路结构简单,抗干扰能力强,成本相对较低,非常符合本设计的所有要求。89C51单片机系列是MCS-51系列的基础上发展起来的,是当前8位单片机的典型代表,采用CHMOS 工艺,即互补金属氧化物的HMOS 工艺, CHMOS 是CMOS 和HMOS 的结合,具有HMOS 高速度和高密度的特点,还具有CMOS 低功耗的特点。

时钟电路在单片机的外部通过XTAL1,XTAL2这两个引脚跨接晶体振荡器和微调电容,构成稳定的自激振荡器.本系统采用的为12MHz 的晶振,一个机器周期为1us,C2,C3为30pF 。

复位电路分为上电自动复位和按键手动复位,RST 引脚是复位信号的输入端,复位信号是高电平有效.上电自动复位通过电容C1和电阻R4来实现,按键手动复位是图中复位键来实现的。

2.6

时间显示电路

因为系统要求南北和东西方向的信号灯时间不一样,所以就利用单片机的P0口送出数据的段码,位选信号用P2口送出,用动态扫描的方法显示东西、南北的倒计时间(如图-4所示)。

数码管使用共阴数码管,需要接上470欧上拉电阻以提供足够大的电流来驱动数码管,数码管的每段的电流是约10毫安。 2.7交通灯电路

本设计利用单片机的p1口来驱动和控制各种信号灯的燃亮和燃亮时间,在实际中,交通灯的信号灯需要用高电压控制,在这里我们只是模拟一下它的控制信号,所以我们就只用单片机的信号引脚直接来控制发光二极管(如图-5所示)

(图-4)

3 软件设计3.1整体系统分析

总体流程图(实现各种状态间的转换):

(图-5)

东西绿灯南北红灯东西黄灯闪南北红灯亮

东西红灯南北绿灯

东西红灯亮南北黄灯闪

3.2相关参数计算

T0的计数初值:X=216-12*50*1000/12=15536=3CB0H

3.3程序流程图

(图-6)

4 Proteus 软件仿真

4.1系统仿真电路图

1.交通灯控制系统正常运行时仿真图(见图-7

)。

2.交通灯南北方向绿灯,东西黄灯闪烁时仿真图(见图-8)。

(图-7)

3.交通灯东西方向向南北方向转变,黄灯亮,见(图-9)。

图-8

5课程设计心得

通过这次课程设计,我得到了一次用所学知识与技能分析和解决问题的可贵的锻炼机会,使我深刻邻会了单片机的基本原理和单片机应用系统开发的过程。在常用编程设计思路技巧的掌握方面都向前迈了一大步,为日后成为合格的应用型人才打下良好的基础。

通过本次的毕业设计,受益匪浅,充分意识到自己所学的东西还是非常有限的,不过通过设计,还是学到了一些书本上没有学到的东西,为自己以后的工作奠定了一定的基础。在撰写本文的过程中,深切地体会到当今科技技术飞速的发展,特别是单片机的发展使得许多技术难题迎刃而解,作者坚信,随着科学技术的不断发展,单片机技术的应用将是前途无量。 由于本设计涉及到的知识面比较广,再加上本人在相关领域知识的缺乏,所以本设计的性能指标还是有待改善的,然而,模拟仿真证明了本设计的设计思想和设计方法是现实可行的。

(图-9)

参考文献

1.《电子系统综合设计》郭勇北京大学出版社

2.《数字电子技术基础》阎石高教出版社

3.《模拟电子技术基础》童诗白高教出版社

4. 《单片机原理及应用》张毅刚高等教育出版社

5. 吴黎明, 王桂棠, 洪添胜, 等. 单片机原理及应用技术[ M ] . 北京: 科学出版社,2005.

6. 韩克, 柳秀山, 等. 电子技能与E D A 技术[M].广州:暨南大学出版社,2004.

附1:源程序代码

#include

#define uchar unsigned char /*定义字符串类型为无符号型*/

uchar code a[10]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};

uchar code b[4]={0x0D,0x0E,0x07,0x0B}; /****P2口,低有效*/

uchar code c[5]={0xF3,0xEE,0xDE,0xDD,0xED}; /****P1口,低有效*/

char SN=40,WE=40;

char SN_G=40,WE_G=20,Y=5;

uchar i,k=0,count=0;

void delay(uchar t);/*定义函数*/

void light(); /*定义函数*/

void led(); /*定义函数*/

sbit K0=P3^2;/*开关K4接P3^2管脚*/

sbit K1=P3^3;/*开关K2接P3^3管脚*/

sbit K3=P3^5;/*开关K3接P3^5管脚*/

/*程序初始化*/

void init(void)

{

/*12MHz */

TMOD=0x01; /**计数器用模式1,为16位计数器*****/

TH1=(65536-50000)/256; /*0x3C*/

TL1=(65536-50000)%256; /*0xB0*//*计50000个数,用时50ms*/ IT0=1;/*外部中断0为边沿触发方式*/

ET0=1;/*允许T0中断*/

TR0=1;/*启动计数器*/

EA=1;/*CPU开放总中断*/

EX0=1;/*允许外部中断0中断,即允许响应端口P3^2(K4)中断*/

EX1=1;/*允许外部中断1中断,即允许响应端口P3^3(K2)中断*/

}

/*中断0处理程序=夜间模式=*/

void int0(void) interrupt 0

{

while(1)

{

P1=c[4],P0=0x00;/*交通灯对应着k的值变化*/

if(P1==c[4])/*当南北方向亮黄灯且count=0时,执行程序*/ {

TH1=(65536-50000)/256;

TL1=(65536-50000)%256;/*延时50ms*/

}

P1=0xFF;

/*灯灭,返回*/

if(K3==0) /*P3^5=0*/

{

delay(20);/*延时,把抖动的时间抛掉*/

if(K3==0)

{

while(!K3)/*当松开K3开关时,跳出空循环,执行后面的程序*/

{

}

count=0;/*清零*/

k=0;/*南北方向通车,东西方向不通车*/

SN=40;

WE=40;/*南北方向显示时间为南北方向绿灯通行时间,东西方向显示时间为南北方向绿灯通行时间加黄灯闪亮时间*/

light();/*调用交通灯函数*/

led(); /*调用数码管函数*/

EA=1; /*CPU开放总中断*/

break;/*跳出*/

}

}

}

}

/*白天晚上模式切换程序结束*/

/*中断1处理程序TING*/

void int1(void) interrupt 2

{

P1=0xFF,P0=a[0];/*东西南北方向均灯全灭,P0口输出0*/ EA=0;/*CPU禁止响应一切中断*/

TR0=!TR0;/*计数器停止工作*/

SN=SN_G,WE=SN_G;

while(1)/*无条件循环*/

{

/*数码管扫描程序,*/

P2=b[0];/*显示南北方向个位*/

delay(5);/*延时*/

P2=b[1];/*显示南北方向十位*/

delay(5);/*延时*/

P2=b[2];/*显示东西方向个位*/

delay(5);/*延时*/

P2=b[3];/*显示东西方向十位*/

delay(5);/*延时*/

/*返回*/

if(K3==0) /*P3^5=0*/

{

delay(10);/*延时,把抖动的时间抛掉*/

if(K3==0)

{

while(!K3)/*当松开K3开关时,跳出空循环,执行后面的程序*/

{ }

EA=1;/*CPU开放总中断*/

TR0=!TR0;/*启动计数器*/

break;/*跳出*/

}

}

}

}

/*定时函数*/

void time1(void) interrupt 1

{ delay(10);

TH0=0x3c;

TL0=0xb0;/*计50000个数,用时50ms*/

count++; /*自增运算*/

if(count>=20)/*当count大于或等于20时,历时1s,执行程序*/ {

SN--;/*自减运算*/

WE--;/*自减运算*/

count=0;/*清零*/

if(SN==0||WE==0)/*当SN=0或者WE=0时,执行程序*/

{

k++;/*自增运算*/

if(k>3)/*当k>3时,执行程序*/

{k=0;SN=40;WE=40;}

else if(k==1)

{SN=20;WE=Y;}

else if(k==2)

{SN=15;WE=20;}

else if(k==3)

{SN=Y;WE=5;}

}

}

}

/*延时t毫秒*/

void delay(uchar t)

{

uchar i;

for(t;t>0;t--)

{

for(i=2000;i>0;i--)

{ }

}

}

/*交通灯函数*/

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部)汽车学院 专业车辆工程 班级 学生 学号 6 月 29 日至 7 月 3 日共一周

目录 一、主要技术指标和要求 (2) 二、摘要 (2) 三、总体设计方案论证及选择 (2) 四、设计方案的原理框图、总体电路原理图及说明 1、设计方案的原理框图 (3) 2、总体电路原理图及说明 (4) 五、单元电路设计、主要元器件选择与电路参数计算 1、CP脉冲发生器电路 (5) 2、主控电路模板 (7) 3、组合逻辑电路模块 (8) 4、负载电路 (11) 六、收获与体会,存在的问题 (12) 七、参考文献 (13) 八、附件(元件材料清单、原理电路图或其他说明) (14)

一、主要技术指标和要求 (1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色放光二极管表示信号灯; (4)设计计时显示电路。 二、摘要 在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。 本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。通过这四个模块来实现对交通信号灯的控制。 三、总体设计方案论证及选择 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的

交通信号灯的自动控制

交通信号灯的自动控制 1. 设要求以及主要内容 (1) 2.总体设计 (1) 2.1.555秒脉冲模块设计 (1) 2.2.控制单元设计 (2) 2.2.1 4秒定时电路 (2) 2.2.2 6秒定时电路 (3) 2.2.3 25秒定时电路 (4) 2.2.4 JK时序电路 (4) 2.2.5时序信号 (6) 3.设计心得 (6) 4.参考文献 (7) 5.附录 (8)

交通灯的自动控制 1. 设要求以及主要内容 1.通常情况下,大道绿灯亮,小道红灯亮; 2.若小道来车,大道经6秒由绿灯变为黄灯;再经过4秒,大道由黄灯变为红灯,同时,小道由红灯变为绿灯; 3. 小道变绿灯后,若大道来车不到3辆,则经过25秒钟后自动由红灯变为黄灯,再经过4秒变为红灯,同时,大道由红灯变为绿灯; 4.如果小道在绿灯亮时,小道绿灯亮的时间还没有到25秒,只要大道检测到已经超过3辆车在等候,那么小道应立即由绿灯变为黄灯,再经过4秒变为红灯,同时,大道由红灯变为绿灯。 2.总体设计 首先由一个555发生产生一个秒脉冲,提供给FPGA一个时钟信号,然后经过控制单元处理以后输出给信号灯。总体原理框图如图1 图1 原理框图 2.1.555秒脉冲模块设计 产生秒信号的电路有多种形式,如图2 是利用555 定时器组成的秒信号发生器。当接通电源以后,因为电容上的初始电压为零,无哦一输出为高电平,并开始经电阻R向电容C充电。当充到输入电压为V1=Vt+时,输入跳变为低电平,电容C又经过电阻R开始放电。当放电至V1=Vt-时,输出电位又跳变成高电平,电容C重新开始充电如此周而复始,电路便不停地振荡。V1和Vo的电压波形如图3所示。因为该电路输出脉冲的周期为T≈0.7(R1+2R2)C。若T=1S,令C=10,R1=39K,则。取固定电阻与的电位器相串联代替电阻R2。在调试电路时,调试电位器R P,使输出脉冲为1s。

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

交通信号灯程序

《PLC组态与维护》课程测试答题册 系别:动力工程系 专业:工业热工控制技术 班级: 姓名: 学号: 任课教师: 完成时间:2014年5月26日 目录

一、测试题目 (3) 二、I/O分配表 (4) 三、硬件接线图 (4) 四、控制时序图 (5) 五、顺序功能图 (5) 六、控制梯形图 (6) 七、答题心得 (15) 八、参考文献 (15)

一、测试题目 北向 南向 东向 西向 红 红 红 红 黄 黄 黄 黄 绿 绿 绿 绿 上图所示为双干道交通信号灯设置示意图。信号灯的动作受开关总体控制,按一下起动按钮,信号灯系统开始工作,并周而复始地循环动作;按一下停止按钮,所有信号灯都熄灭。 信号灯控制的具体要求见下表。 试编写信号灯控制程序,具体要求如下: 1.理清输入输出信号的关系和状态。 2.列出I/O 分配表。 3.绘制PLC 硬件接线图、控制时序图和顺序功能图。 4.设计控制梯形图程序

二、I/O 分配表 东西方向红灯 Q 4.3 BOOL 东西方向黄灯 Q 4.5 BOOL 东西方向绿灯 Q 4.4 BOOL 南北方向红灯 Q 4.0 BOOL 南北方向黄灯 Q 4.2 BOOL 南北方向绿灯 Q 4.1 BOOL 启动按钮 I 0.1 BOOL 停止按钮 I 0.2 BOOL 三、硬件接线图 DC24V +-HL1 HL2 HL3 HL4 HL5SB1 SB2 HL6 DC24V - + M 1M I0.0 I0.1 1L Q4.0 Q4.1 Q4.2 Q4.3 Q4.4Q4.5

四、控制时序图 红灯绿灯黄灯红灯绿灯 黄灯东西 南 北时间 45s T0 3S T12S T2 25S T3 3S T42S T5 五、顺序功能图 M0.0 M0.1M0.2 M0.3 M0.4 M0.5 M0.6 T0Q4.3Q4.1T0 M5.1T1 T1 Q4.2T2 T2 Q4.0Q4.4T3 T3 M5.2T4 T4 Q4.4T5 T5

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯自动控制系统说明书

交通信号灯自动控制系统说明书 课程设计2008-12-28 16:34:46 阅读1674 评论4 字号:大中小订阅 1 概述 1.1 设计目的 (1)掌握CPU与各芯片管脚连接方法,提高接口扩展硬件电路的连接能力; (2)通过对交通灯信号自动系统的模拟控制,进一部提高应用8255A并行接口技术,8253定时功能,8259A中断管理控制器的综合应用能力; (3)掌握基本汇编源程序编制方法,学会综合考虑各种设计方案的对比和论证。 1.2 设计要求 交通信号灯自动控制系统须满足下列要求和功能: (1)首先车行道亮绿灯45s,同时人行道亮红45s; (2)45s后,车行道黄灯闪烁3次,亮、灭各1s,此时人行道仍维持红灯; (3)6s后,转为人行道亮绿灯20s,车行道亮红灯20s; (4)20s后,再转到第(1)步,如此循环往复; (5)当有车闯红灯时,能实现报警信号持续3 s的扩展功能。 1.3 设计方法及步骤 1、设计系统硬件部分 (1)先进行方案论证,确定最终采取硬件定时还是软件定时,是查询方式还是中断方式; (2)在具体甄选设计过程中可能要设计的芯片,分析它们的功能特点,确定它们的工作模式; (3)按照各芯片的使用特点以及本系统的设计要求逐步连接,画出系统硬件连接图。 2、设计系统的软件部分 (1)先进行程序编制方式的方案论证,讨论分析,确定是采用宏程序调用还是子程序调用模式; (2)确定本系统设计可能涉及的源程序各个模块,明确各个模块的各自功能,分清它们相互之间的调用关系; (3)画出各个模块的程序流程图; (4)依据流程图,编制出交通信号灯自动控制系统的完整汇编源程序。 1.4 设计说明 (1)本设计采用共阳极的发光二极管模拟对应的交通信号灯的型式,参见后面“系统硬件部分设计”中“总体设计”这一节; (2)本设计关于有车闯红灯报警的扩展功能,是通过红外线接收装置实现的,具体分析见后面“可编程芯片说明及其地址范围确定”中“8254定时/计数器”这一节; (3)在本设计的最初方案中,本来是有电子眼拍摄闯红灯车牌号的这一很实用、很现实化的扩展功能的,但由于实现这种功能的电路芯片资料难以搜集,芯片电路连接复杂以及芯片工作模式,工作环境,工作特点的难以确定,最终被舍弃,只留下报警功能; (4)本设计在很多方面,比如译码器的选择,定时器选型,程序调用方式等等尽量做到不与本组其他成员雷同,程序编制力求简便清晰,硬件连接图在保证每根具体用到的管脚线都能被表示出来的同时,力求线路连接清晰明确,尽量不使线与线之间过于缠绕。 2 方案论证 2.1 软件定时与硬件定时 本任务要求交通信号灯能实现自行定时、延时、切换等功能,即能实现交通信号灯自动控制。一般计算机控制系统实现定时或延时有两种基本方法:利用软件定时 或使用可编程硬件芯片,即硬件定时。

电工与电子技术课程设计_简易交通信号灯控制器(最新)剖析

长安大学 电子技术课程设计设计题目:简易交通信号灯控制器 专业汽车运用工程工程 班级2013220501 姓名王雨晴 指导教师 日期2015-6

目录 一、课题名称与技术要求 二、摘要 三、总体设计方案论证及选择 四、设计方案的原理框图、总体电路图、接线图及说明 五、单元电路设计、主要元器件选择与电路参数计算 六、收获与体会、存在的问题 七、参考文献 八、附件(元件材料清单)

一、课题名称与技术要求 1、课题名称:简易交通信号灯控制器 2、主要技术指标和要求: (1)定周控制:主干道绿灯45秒,支干道绿灯25秒; (2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色发光二级管表示信号灯; 二、摘要 交通运输是国家经济发展的动力,日常出行也是我们生活中不可或缺的一部分。确保道路交通顺畅与安全的重要性不言而喻。在确保十字路口的交通秩序工作中,信号灯扮演了极其重要的角色。交通信号控制系统是用来自动控制十字路口红黄绿三色的电子系统。交通灯简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲信号,通过CP输入。主控制器由一块74LS161组成一个16进制计数器,分别在45S,50S,75S,80S,通过驱动控制装置来控制主干道与支干道中绿、黄、红发光二极管的亮灭及其持续时间,从而实现对主干道与支干道交通信号的控制。 三、总体设计方案论证及选择 方案一:十字路口每个方向的绿、黄、红灯所亮的时间比例分别为9:1:5,所以,可以选择计数器为5s的脉冲。因为每5s一个时间单位,所以计数器的工作循环为16,应选择一个十六进制的计数器来控制,故选择74LS161四位异步二进制计数器,再加上相应控制器来配合,达到计数器分别在9、

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

数电设计——交通信号灯控制器

数字逻辑系统课程设计报告 题目:交通信号灯控制器 专业、班级: 12级电子工程一班 学生: 学号: 指导教师: 分数 : 2014年 1 月 16 日

任务书

目录 一、设计任务目的 (4) 二、设计任务与要求 (4) 2.1 设计任务: (4) 2.2设计要求: (4) 三、设计方案选取与论证 (4) 3.1 方案选取理由 (4) 3.2 方案的可行性、优缺点 (5) 3.3 方案设计选取 (5) 四、电路设计 (8) 4.1单元模块电路设计 (9) 4.2 电路总图 (12) 五、制作及调试过程 (13) 5.1 装配和调试中的问题和解决办法 (13) 5.2 调试结果 (13) 5.3 元器件清单 (14) 六、结论 (14) 6.1 问题分析及解决 (14) 6.2 心得体会 (15) 七、致 (16) 八、参考文献 (16)

一、设计任务目的 1.掌握交通信号灯控制器电路的设计、组装。焊接与调试方法。 2.熟悉并掌握简单数字集成电路的设计和使用方法。 二、设计任务与要求 2.1 设计任务: 设计一个用于十字路口的交通灯控制器。能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。 2.2设计要求: ①东西方向绿灯亮,南北方向红灯亮,时间15s。 ②东西方向与南北方向黄灯亮,时间5s。 ③南北方向绿灯亮,东西方向红灯亮,时间l0s。 ④如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行,特殊情况过后能恢复正常。(附加功能) 三、设计方案选取与论证 3.1 方案选取理由 该交通灯控制器是分两条东西、南北的,两个方面的时间是不同的,东西方向通行15s,南北方向10s,这就要求我们要有两个计数器,根据我自己的经验,东西方向通行15s完,倒计时数字显示器会显示到0,然后切换到南北方向通行10s完之后, 倒计时数字显示器也会显示到0之后然后切换到南北方向,这样如此循环,用两片74LS192芯片来构成对应进制的计数器,设计一个16进制和一个11进制的计数器,可以由于是15和10之间循环切换,我们可以用利用JK触发器的翻转功能来实现两种进制计数器之间的切换;当然还有每个方向倒计时只有5s时,黄灯闪,一直到0为止,由于黄灯是当两个计数器倒计时到5时开始闪,我们就可以在这时发出一个脉冲然后一直保持到0,或者是接收0至5这段时间的脉冲都可

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

交通信号灯_数字逻辑程序设计

石家庄经济学院 信息工程学院 数字逻辑课程设计报告 题目十字路口交通灯控制器姓名赵永超 学号409109070609 班号4091090706 指导老师 成绩 2010年6月 目录

1. 功能描述 (52) 2. 开发工具选择 (52) 3. 设计方案 (52) 4.模块描述 (52) 5. VHDL实现 (52) 6. 调试仿真 (52) 7. 课程设计回顾总结 (52) 参考文献 (52) 附录 (52)

1.功能描述 ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。信号灯在高电平时亮,低电平时熄灭。十字路口的交通灯控制电路功能: 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 2.开发工具选择 选择VHDL语言描述,利用Quartus II 5.1工具。 3. 设计方案 在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 (1)、首先对问题进行仔细分析 设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:

交通信号灯PLC自动控制

交通信号灯PLC自动控 一、实验目的 1.掌握十字路口交通信号灯的控制原理。 2.掌握PLC定时器﹑计数器的使用方法。 二、实验器材 1.PLC可编程序控制器实验台1台 2.PLC-DEMO001交通信号灯PLC自动控制演示板1块 3.PC机或编程器1台 4.编程电缆1根 5.自锁式连接导线若干 三、实验要求 1.本装置与交通信号灯控制一致,采用LE模拟信号灯,信号灯分东西﹑南北二组分别有“红”“黄”“绿”三种颜色。其工作状态由PLC程序控制,“启动”、“停止”按钮分别控制信号灯的启动和停止。“白天/黑夜”开关控制信号灯白天黑夜转换。 2.对“红”“黄”“绿”灯控制要求如下: 3.假设东西方向交通比南北方向繁忙一倍,因此东西方向的绿灯通行的时间多一倍。 4.控制时序要求如错误!未找到引用源。所示。 5.按下“启动”按钮开始工作,按下“停止”按钮停止工作,“白天/黑夜”开关按下闭合时为黑夜工作状态,这时只有黄灯闪烁,断开时按时序控制图工作。 6.根据具体情况还可增加控制要求,如紧急控制,某一方向绿灯常亮。 PLC简介 随着社会经济的发展,城市交通问题越来越引起人们的关注。人,车,路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测,交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥中最重要的组成部分。 1.随着城市机动车量的不断增加,许多大城市如北京,上海,南京等

出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速公路,在高速公路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路和普通道路耦合出交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道,城区与周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题, 2.根据交通等工艺控制要求和特点,我们采用了日本三菱公司FX2N_48MR。三菱PLC有小型化,高速度,高性能等特点,三菱可编程控制器指令丰富,可以接各种输入,输出扩充设备,有丰富的特殊扩展设备,其中的模拟输入设备和通信设备是系统所必需的,能够方便地联网通信。本系统就是应用可编程控制器(PLC)对十字路口交通控制等实现控制。本系统采用PLC是基于以下四个原因: 3.PLC具有很高的可靠性,抗干扰能力。通常的平均无障碍时间都在30万小时以上; 4.系统设计周期短,维护方便,改造容易,功能完善,实用性强; 5.干扰能力强,具有硬件故障的自我检查功能,目前空中各种电磁干扰日益严重,为了保证交通控制的可靠稳定,我们选择了能够在恶劣的电磁干扰环境下正常工作的PLC; 6.近年来PLC的性能价格比有较大幅度的提高,是的实际应用成为可能。2 PLC及PLC简介 7.可编程控制器简称——PLC是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。 8.可编程控制器(PLC)是用来取代控制系统中的继电器的一种设备,它通过检测输入端口,并根据输入端口的状态,按照程序控制输出口,可编程控制器的程序一般要使用一定的软件编写,使用人员通过输入预先编写的程序,使可编程控制器按预定的控制方案执行控制任务。目前大多数城市采用的交通信号灯指挥控制系统,采用电子线路加继电器构成,也有少数采用单片机构成。对信号灯的要求也越来越高,采用电子线路加继电器的控制方

简易交通灯控制电路

目录 引言 (2) 1 实验目的 (3) 2 设计任务和要求 (4) 2.1 工作流程 (4) 2.2 工作时序 (4) 2.3 循环工作 (4) 3 系统总体设计 (5) 4 单元电路设计 (8) 4.1 脉冲发生器 (8) 4. 2 控制器 (8) 4. 3 计时显示电路 (9) 4. 4 计数器驱动脉冲电路图 (11) 5 主要电子器件 (14) 6 设计总结 (15) 致谢 (16) 主要参考资料 (17)

引言 数字电子技术基础是高等学校弱电类各专业的一门重要的技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现涉及交通控制信号灯。 设计一个简易交通信号灯控制器,在十字入口处设置红、黄、绿三种信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

1 实验目的 1、掌握综合应用理论知识和中规模集成电路设计方法 2、掌握调试及电路主要技术指标的测试方法

2 设计任务和要求 1、工作流程 南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 2 、工作时序 东西方向亮红灯时间应等于南北方向亮黄灯和绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 一次循环为30秒,其中红灯亮的时间是绿灯、黄灯亮的时间之和,黄灯是间歇闪烁。 3 、循环工作 十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到2秒时,绿灯熄灭,黄灯亮并闪烁,计数继续每秒减1,直到0,黄灯熄灭,红灯亮。十字路口红、绿灯交换,一次工作循环结束,而进入下一步某方向的工作循环。

plc红绿灯实验报告

竭诚为您提供优质文档/双击可除plc红绿灯实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干

实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿

相关文档
最新文档