Synopsys系列软件安装说明

Synopsys系列软件安装说明
Synopsys系列软件安装说明

Synopsys系列软件安装说明

magellan。Synopsys软件一共有三个:VCS、formality、安装这是一套验证软件,现在我们说一下它们的安装流程:VWmare1.安装执行可执行文件。安装无注意事项。按照步骤安装直到完成。REDHAT4.22.安装REDHAT 。加载运行虚拟机,在file选项下选择new下的virtual mashine在左下角虚拟光驱中加载接下来按照提示加载在提示加载其他的光盘时,disc1.这。加载之后记得connect(安装前提是硬盘空间最小要15G)剩下的光盘镜像,样直到安装完成。

3.安装VMware Tools开始启动系统,然后用安装完系统后,点击start this virtual machine账号登陆,密码就是在安装系统时自己设置的密码。在上面的工具栏菜单root界面外,不LINUX选择VM\install VMware Tools(目的是鼠标可以直接移动到共享文件windowsLINUX界面的大小,同时也可以实现和再需要Ctrl+Alt;设置拷贝到任何目VMwareTools-6.0.0-45731.tar.gz夹),生成VmWare Tools后将zxvf

录下,然后在终端中的该目录下用tar –命令进行解压,然后进入解压后得到的VMwareTools-7.8.4-126130.tar.gz一切选择./ vmware-install.pl进行安装(vmware-tools-distrib的目录,执行默认就行)。安装目录下的一个另一种方法:如果第一种方法不行,出现错误,就加载VM里面有个文件linux.iso镜像,在系统中打开cd-romhomeTools压缩包。把它拷贝到

VMwareTools-8.1.3-203739.tar.gz就是VMware

xvzfVMwareTools-8.1.3-203739.tar.gz 解压缩文件,文件夹下,然后用tar – ./vmware-install.pl 进行安装。然后执行在解压好的文件路径下输入命令执行

,”(退出LINUX的共享目录为”安装后重启可以设置与WindowsE:\share下的目

录不如设置好WindowsVM\settings,选options?Shared Folders 然后以后你

在,LINUX在/mnt/hgfs/下找到),选择为h:\share\always enable。

/mnt/hgfs/LINUX后就可以在h:\share\目录下,启动window下将软件拷贝到下找到该软件。安装必要系统工具 4.在linux环境下,在左上角的Applications 下拉菜单下的System Settings下的add/remove Applications勾选应用Editor 和Development Tools(安装vcs时是必须的),打开Development Tools的Details,勾选所有带有gcc关键字的选项。点击开始安装,提示换光盘时就像第一步一样更换光盘,安装完毕。

5.生成synopsys.dat

目录下的0.4b/packsLicGen EFA 代替synopsys.src首先用目录下的a).synopsys.src文件,然后运行EFA LicGen 0.4b文件夹中的licGen,open打开synposys.lpd,然后选中select hostid和use daemon中的custom选项,输入linux 的网卡MAC地址(字母小写)MAC地址查看命令ifconfig,输出信息中HWaddr

后面为MAC地址(注意长度为00-00-00-00-00-00)

b)点击generate按钮生成dat文件,更改其中的第一行中Server后的第一个字符串为linux的hostname,查看hostname方法:在shell输入命令hostname

c)修改前SERVER yourWindowsHostname 00000000000027000

d)修改后SERVER yourLinuxHostname 00000000000027000

e)点击save,保存为synopsys.dat文件

f)将生成的synopsys.dat文件拷到Synopsys SSS Feature Keygen文件夹下

g)在windows命令行下进入Synopsys SSS Feature Keygen目录下,输入sssverify synopsys.dat,记录输出的SECRET DATA信息

h)运行KeyGen,输入HOSTID(网卡的MAC)和SECRET DATA信息

i)点击Generate生成license.dat文件

j)打开license.dat,用其中的FEATURESSS信息替换synopsys.dat文件中的FEATURESSS信息。

k)将synopsys.dat文件复制linux中某个文件夹下(例如/home/synopsys/)

6.安装formality、magellan.

a)进入Linux系统,在目录/mnt/hgfs/下找到fm-Y-2006.06.tar.bz2文件和

mg-B-2008.09.tar.bz2文件和synopsys.dat,将这些文件统统拷贝到/home/hxtt103目录下(在/home目录下新建立hxtt103子目录)。scl文件夹先不拷贝

b)在终端控制器环境下进入到包含有fm-Y-2006.06.tar.bz2文件和

mg-B-2008.09.tar.bz2文件的路径下,分别执行tar jxvffm-Y-2006.06.tar.bz2;执行完毕后,再执行tar jxvfmg-B-2008.09.tar.bz2;完成解压后,用命令

mv /home/hxtt103/home/synopsys/ /home/ 把解压生成的synopsys文件夹移到home目录下。

c)将scl文件夹和生成的synopsys.dat拷贝到/home/synopsys下。

7.安装VCS

首先解压installer_v2.0.tar.Z(tar zxvf installer_v2.0.tar.Z),进入解压后的目录,在终端中执行./install.now -gui,在提示文件夹时,输入vcs安装文件所在目录。要求输入SiteID Number时输入27000,Contact Information中输入root@(Linux的hostname)要求输入安装目录时按具体情况输入例如:

/home/synopsys/vcs-A-2008.09),其它输入均选择默认即可。注意:有的时候会出现一下问题:当执行例如vcs–sverilog–RI *.v(文件名) 出现以下的语句(/home/synopsys/vcs-A-2008.09/linux/bin/vcs1: error while loading shared libraries: libstdc++.so.5: cannot open shared object file: No such file or directory) 这是因为它不能识别libstdc++.so.6只识别libstdc++.so.5,解决方法是用备用的

libstdc++.so.5.0.7转化为libstdc++.so.5(首先把备用的libstdc++.so.5.0.7拷贝到/usr/lib下,在终端下用命令

ln -s /usr/lib/libstdc++.so.5.0.7 /usr/lib/libstdc++.so.5)。

8.设置环境变量如下:(vi bashrc编辑bashrc)它是根据安装的目录设置的。export SYNOPSYS=/home/synopsys

export LM_LICENSE_FILE=$SYNOPSYS/synopsys.dat

export PATH=$SYNOPSYS/Y-2006.06/bin:$PATH

export MG_HOME=$SYNOPSYS/mg-B-2008.09

export PATH=$MG_HOME/linux/ctg/bin:$PATH

export VCS_HOME=$SYNOPSYS/vcs-A-2008.09

export PATH=$VCS_HOME/linux/bin:$PATH

alias lmlis='$SYNOPSYS/scl/linux/linux/bin/lmgrd -c $LM_LICENSE_FILE'

设置完成后在终端中执行命令:source bashrc和lmlis两个命令。

如果显示安装不成功,提示中有进程lmgrd的时候,输入命令ps,看到lmgrd 的进程号是多少,然后输入kill 进程号。然后再执行命令:source bashrc和lmlis 两个命令。.

IC后端流程初学必看样本

校外IC后端实践报告 本教程通过对synopsys公司给lab进行培训,从verilog代码到版图整个流程(固然只是基本流程,由于真正一种大型设计不是那么简朴就完毕),此教程目就是为了让人们尽快理解数字IC设计大概流程,为后来学习建立一种基本。此教程只是本人摸索实验成果,并不代表内容都是对的,只是为了阐明大概流程,里面一定尚有诸多未完善并且有错误地方,我在此后学习当中会对其逐个完善和修正。 此后端流程大体涉及一下内容: 1.逻辑综合(工具DC 逻辑综合是干吗就不用解释了把?) 2.设计形式验证(工具formality) 形式验证就是功能验证,重要验证流程中各个阶段代码功能与否一致,涉及综合前RTL代码和综合后网表验证,由于如今IC设计规模越来越大,如果对门级网表进行动态仿真话,会耗费较长时间(规模大话甚至要数星期),这对于一种对时间规定严格(设计周期短)asic 设计来说是不可容忍,而形式验证只用几小时即可完毕一种大型验证。此外,由于版图后做了时钟树综合,时钟树插入意味着进入布图工具本来网表已经被修改了,因此有必要验证与本来网表是逻辑等价。 3.静态时序分析(STA),某种限度上来说,STA是ASIC设计中最重要环节,使用primetime 对整个设计布图前静态时序分析,没有时序违规,则进入下一步,否则重新进行综合。 (PR后也需作signoff时序分析) 4.使用cadence公司SOCencounter对综合后网表进行自动布局布线(APR) 5.自动布局后来得到详细延时信息(sdf文献,由寄生RC和互联RC所构成)反标注到网 表,再做静态时序分析,与综合类似,静态时序分析是一种迭代过程,它与芯片布局布线联系非常紧密,这个操作普通是需要执行许多次才干满足时序需求,如果没违规,则进入下一步。 6.APR后门级功能仿真(如果需要)

synopsys_ic_compiler_介绍、安装、调试和设计流程

synopsys ic compiler 介绍、安装、调试和设计流程 加入该小组相关分类: petery (组长) 2007/9/23 顶楼举报 一、介绍 synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。主要的工具有: LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。 LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力 VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS 一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 Vera Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。 Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。 DC-Expert DC得到全球60多个半导体厂商、380多个工艺库的支持。据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。它使IC设计者在最短的时间内最佳的利用硅片完成设计。它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。 DC Ultra 对于当今所有的IC设计,DC Ultra 是可以利用的最好的综合平台。它扩展了DC Expert的功能,包括许多高级的综合优化算法,让关键路径的分析和优化在最短的时间内完成。在其中集成的Module Compiler数据通路综合技术, DC Ultra利用同样的VHDL/Verilog流程,能够创造处又快又小的电路。 DFT Compiler DFT Compiler提供独创的“一遍测试综合”技术和方案。它和Design Compiler 、Physical Compiler系列产品集成在一起的,包含功能强大的扫描式可测性设计分析、综合和验证技术。DFT Compiler可以使设计者在设计流程的前期,很快而且方便的实现高质量的测试分析,确保时序要求和测试覆盖率要求同时得到满足。DFT Compiler同时支持RTL级、门级的扫描测试设计规则的检查,以及给予约束的扫描链插入和优化,同时进行失效覆盖的分析。 Power Compiler Power Compiler?提供简便的功耗优化能力,能够自动将设计的功耗最小化,提供综合前的功耗预估能力,

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

虚拟机Linux系统中安装SYNOPSYS工具图解教程

虚拟机Linux系统中安装SYNOPSYS工具图解教程 陈浩利 2011-05-16 一、安装环境 虚拟机:VMware 7.1 操作系统:Fedora 10 installer版本:2.0 scl版本:10.9.3(据网上资料,有些用了11.1版本的,兼容性不是很好,故用此版本) dc版本:syn_vC-2009.06-SP5 vcs版本:vcs-mx_vD-2009.12 simif版本:simif_vC-2009.06-SP1 pt版本:prime time pts_vD-2009.12-SP1 (以上软件EETOP上均有下载链接) 二、安装步骤 2.1建立共享文件夹 前提:VMware和Linux系统均安装了VMware Tools,如果没有可以将VMware Tools安装文件拷贝在U 盘中(Fedora 10可以识别U盘)进行安装。 新建一个虚拟机,然后编辑虚拟机: 添加共享文件夹目录:

设置的文件夹Windows系统和Linux系统均可对其进行读写,将Synopsy的各种安装文件放在这个文件夹,再拷贝到Linux系统自己的分区。 2.2 拷贝安装文件 2.2.1 新建文件夹 进入Fedora10 操作系统,在/home/chenhaoli(不同用户有不同的用户名,Fedora10中,用户只有在该路径下具有完全读写权限)下新建文件夹: /home/chenhaoli/eda(新建)/synopsys(新建)|--installer |--tar(存放installer安装文件) |--installer_v2.0(安装路径) |--scl |--tar(存放scl安装文件) |--scl_v10.9.3(scl安装路径) |--license(存放license) |--dc |--tar(存放dc安装文件) |--dc_2009(dc_2009安装路径) |--vcs |--tar(存放dc安装文件) |--vcs_2009(vcs_2009安装路径) |--simif |--tar(存放dc安装文件) |--simif_2009(simif_2009安装路径) |--pt |--tar(存放dc安装文件) |--pt_2009(pt_2009安装路径)

TS五大核心工具精编版

T S五大核心工具 集团企业公司编码:(LL3698-KKI1269-TM2483-LUI12689-ITT289-

T S16949 五大核心工具简介 IATF(国际汽车行动组织)为了推动TS16949标准的理解和运用,专门出版了五大核心工具应用指南,以此来推动五大工具的应用和推广。本期就五大工具向公司各位同仁作简要介绍。1、APQP(先期产品质量策划) APQP强调在产品量产之前,通过产品质量先期策划或项目管理等方法,对产品设计和制造过程设计进行管理,用来确定和制定让产品达到顾客满意所需的步骤。产品质量策划的目标是保证产品质量和提高产品可靠性,它一般可分为以下五个阶段: 第一阶段:计划和确定项目(项目阶段); 第二阶段:产品设计开发验证(设计及样车试制); 第三阶段:过程设计开发验证(试生产阶段); 第四阶段:产品和过程的确认(量产阶段); 第五阶段:反馈、评定及纠正措施(量产阶段后)。 2、FEMA(失效模式及后果分析) FEMA体现了防错的思想,要求在设计阶段和过程设计阶段,对构成产品的子系统、零件及过程中的各个工序逐一进行分析,找出所有潜在的失效 模式,并分析其可能的后果,从而预先采用必要的措施,以提高产品的质量和可靠性的一种系统化的活动。FEMA从失效模式的严重度(S)、频度O)、探测度(D)三方面分析,得出风险顺序数RPN=S×O×D,对RPN及严重度较高的失效模式采取必要的预防措施。FMEA能够消除或减少潜在失效发生的机会,是汽车业界认可的最能减少“召回”事件的质量预防工具。 3、MSA(测量系统分析) MSA是使用数理统计和图表的方法对测量系统的分辨率和误差进行分析,以评估测量系统的分辨率和误差对于被测量的参数来说是否合适,并确定测量系统误差的主要组成的方法。 测量系统的误差对稳定条件下运行的测量系统,通过多次测量数据的统计特性的偏倚和方差来表征。一般来说,测量系统的分辨率应为获得测量参 数的过程变差的十分之一,测量系统的相关指标有:重复性、再现性、线性、偏倚和稳定性等。 4、PPAP(生产件批准程序) PPAP是指在产品批量生产前,提供样品及必要的资料给客户承认和批准,来确定是否已经正确理解了顾客的设计要求和规范。 需要进行PPAP的包括新产品、样件纠正、设计变更、规范变更及材料变更等情况; 提供的文件可以包括以下方面: 样件、设计记录、过程流程图、控制计划、FEMA、尺寸结果、材料/性能试验、质量指数、保证书

VCS教程

SAN JOSE STATE UNIVERSITY College of Engineering DEPARTMENT OF ELECTRICAL ENGINEERING EE271 Tutorial on Using Synopsys Verilog Compiler Simulator This tutorial basically describes how to use the Synopsys Verilog Compiler Simulator (vcs) to simulate a Verilog description of a design and how to display graphical waveforms. Apply for An Account If you already have an account on Cadence lab then use it. There is no need for having multiple accounts. If you’re an engineering student or are taking an engineering class, you already have one UNIX account. You can (re)set your password by following the instruction at https://www.360docs.net/doc/9d17524883.html, Once you have already had an account, you can login to your account from workstations in room ENGR289 and room ENGR291. You can remote login to your account from you PC by using SSH remote Secure Shell together with the X-Server for Window software, the Exceed Hummingbird. The Synopsys VCS Simulator VCS (Verilog Compiler Simulator) is a tool suite from Synopsys. It includes VirSim, a graphical user interface to VCS for debugging and viewing waveforms. The methodology of debugging your project design involves three steps: 1) Compiling your verilog source code, 2) Running the simulation, and 3) Viewing the generated waveforms. The VCS tools will allow you to combine these steps to debug your design interactively. VCS works by compiling your Verilog source code into object files, or translating them into C source files. VCS invokes a C compiler (cc, gcc, or egcs) to create an executable file that will simulate your design. This simulator can be executed on the command line, and can create a waveform file. Alternately, the design can be simulated interactively using VirSim, and the waveforms can be viewed as you step through the simulation. The rest of this document will give a brief overview of the tools and show you how to compile and simulate a down-counter example.

启动dc_shell工具的.synopsys.setup文档

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具 的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告

中总是有:warning:Can’t read link_library file ‘your_library.db’,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup 文件拷贝到你DC脚本目录下(也就是和你脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下修

改内容如下: A、s et lib_path /library/smic18/feview~2/version2.2(注: lib_path为你smic18库安装目录,不同于 DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss. db ] C、s et search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_roo t}/dw/sim_ver \ $lib_path/STD/ Synopsys $lib_path/IO/Synopsys ] D、s et target_library [list $lib_path/STD/Synopsys/smic18_ss.db \

synopsys_DC for Ubuntu10.04安装步骤

Synopsys Design Compiler 2008.09安装步骤 安装环境说明:此文讲述的是基于虚拟机VMware 中的Ubuntu10.04系统安装DC 的详细过程。在其它Linux 系统安装也可以循此步骤安装。 安装资源准备:synopsys installer 2.0版本;scl(scl_v10.9.3_common 和scl_v10.9.3_linux);DC _200809(Design Compiler_200809_common 和Design Compiler_200809_linux);license 。 破解工具:EFA LicGen 0.4b 和 Synopsys SSS Feature Keygen 。 安装的目录结构:在安装的过程中会选择要安装的路径,这些指安装后的文件夹结构。 安装过程:(安装是在root 权限下进行的,推荐) 1. 安装前确保Linux 系统已经安装了csh .若没有安装,通过命令apt-get installer csh 安装, 如下所示: 2. 安装installer :将synopsys installer2.0 解压到installer 文件夹即可. 3. 安装SCL : 通过命令./installer –gui ,采用图形化用户界面的方式安装。首先用cd 命令转 到installer 目录下,然后执行 ./installer –gui 命令。如图所示:(参照图中下方两行命令)

选中目标后,点击Done。 接下来一直点击Next就行,出现下面的情况,点击NO,继续安装。

接着选择要安装的路径(这时选择的路径是最开始准备好的那个目录结构,不能选错了)。 这样scl_v10.9.3_common就安装成功。 用同样的方法安装scl_v10.9.3_linux。

五大核心工具培训内容

五大核心工具培训笔记 一、SPC(统计过程控制) 百分比很低、仍不满足需要水平时,导入PPM。并非针对整个过程都研究SPC,而只是针对特殊特性。这里的“过程”是指很小很小的过程(工位或者单一工件),其指标就是Cpk(而对大的“过程”,则用PPM即可) Cpk≥1.67≥Ppk:可接受;Cpk≤1.33:不可接受; PPM:120 “过程的呼声”:现场信息反馈(数量不够、缺陷存在等)。 变差的来源:就是“5M”(人、机、料、法、环)。变差存在是不可避免的,是客观存在的,不可怕。可怕的是超过工艺技术要求(如公差等)。 我们都知道,针对某产品而言:“质量越好,代价越高”(即在完全满足要求下生产即可)。全检并不能保证百分之百合格,一般在100PPM。若一段时间内均在动态分布范围内,则可减少检验量或检验人员;若某天突然分布在其外或较之前面有突变,则必须全检,增强过程检验。 标准差(δ):决定了正态分布的宽度、高度,也就决定了其面积。 丰田的PFMEA很简单:总5分,而本田则复杂的多。通用与五大工具书上的要求和做法基本一致,而其他公司区别较大。其他公司都引用SPC的知识及要求,而SPC相对独立。 会产生变差的原因:普通原因和特殊原因。 普通原因:5M的持续影响(如连接盘的轻微偏芯、从齿扭曲变形、主齿外形渐大等)。 特殊原因:偶然的、非正常原因引起(在很短时间内发生,如忘记加油等)但特殊原因也并不仅发生一次(尽管他并不会永远、持续存在)。

⊿我们要把特因消灭掉,仅关注普因! 方法:1、不经常变动岗位; 2、持续人员稳定而不流动; 3、不随意变换客户及产品…… T(公差):≥1.67可接受;可控范围为1.33~1.67;≤1.33不可接受。 δ6 X—R图:取25组以上数据进行更客观(常用5个左右数据一组)。 通过X—R图:1.能反应特殊原因及其出现的时间; 2.做反应其分布分布宽度(6δ); 3.能反应过程能力指数( T)。 δ6 常用控制图类型:1.计量型数据。2.计数型数据。 分组中的样本(如5件产品)未受特因影响或全受特因影响。R值越小越好(R=0是最好的结果)。找出坏的原因是必须的!找出好的原因也很必要,后续加以利用(持续改进嘛)。 R值超上限,质量在恶化! X值超上限,生产在恶化! 越往中间集中是件好事情; 越往两边走,越不理想或必须马上整改 在取值测算Cpk时,应在一台设备上某一特定点,如冲床加工工件A(如图),取样时则必须在1号位取连续样,而不可将1、2、3、4、5各取一件分组评判。 (1)(2) (3) (4)(5) Cp:不考虑偏心而得的指标;

Synopsys系列工具简介

Synopsys系列工具简介 Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具。公司主要开发和支持基于两个主要平台的产品,Galaxy设计平台和Discovery验证平台。这些平台为客户实现先进的集成电路设计和验证提供了整套综合性的工具。 Synopsys解决方案包括: System Creation(系统生成) System Verification and Analysis(系统验证与分析) Design Planning(设计规划) Physical Synthesis(物理综合) Design for Manufacturing(可制造设计) Design for Verification(可验证设计) Test Automation(自动化测试) Deep Submicron, Signal and Layout Integrity(深亚微米技术、信号与规划完整性技术) Intellectual Property and Design Reuse Technology(IP 核与设计重用技术) Standard and Custom Block Design(标准和定制模块设计) Chip Assembly(芯片集成) Final Verification(最终验证) Fabrication and Packaging(制造与封装设计工具) Technology CAD(TCAD)(工艺计算机辅助设计技术) 主要包括以下工具: 1.VCS (Verilog Compiled Simulator) 2.DC (Design Compiler) 3.ICC (IC Compiler) 4.PT (PrimeTime) 5.Hercules (Hercules Physical Verification) 6.Star-RCXT (parasitic extraction tool) 7.LEDA (LEDA Checker and LEDA Specifier) 8.Formality (RTL to gate-level equivalence checking of cell-based designs) 9.TetraMAX ATPG (Provides manufacturing test patterns for scan designs)

SYNOPSYS 光学设计软件课程第16课:实用的相机镜头

第16课:实用的相机镜头 在第15课中设计的镜头非常好,但它有点太长。实际上希望它更短,同时希望非常高的分辨率。以下是本课的目标: 1.焦距90毫米 2.半视场角20度 3.半孔径25.4毫米 4.透镜元件长度约100毫米 5.后焦距50毫米或更大 在本课程中,将让DSEARCH找到一个起点。在命令窗口中键入MDS,打开设计搜索菜单,如下所示。 输入箭头所示的数据,然后单击“确定”。看到结果时,可以稍后修改此输入。假设镜头需要七个透镜元件。程序会要求您输入文件名,因此请键入LENS_7等名称。这将打开一个编辑器窗口,其中包含运行该程序所需的输入。 CORE 14 TIME DSEARCH 1 QUIET SYSTEM ID DSEARCH SAMPLE OBB 0 20 12.7 WAVL 0.6563 0.5876 0.4861 UNITS MM END GOALS ELEMENTS 7 FNUM 3.54 BACK 0 0 TOTL 100 0.1 STOP MIDDLE STOP FREE RSTART 400 THSTART 5 ASTART 12 RT 0.5 FOV 0.0 0.75 1.0 0.0 0.0 FWT 5.0 3.0 3.0 NPASS 40 ! this gives the number of passes in the final MACro ANNEAL 200 20 Q COLORS 3 SNAPSHOT 10 QUICK 30 30 ! this option runs much faster END SPECIAL PANT END SPECIAL AANT LLL 50 .1 1 A BACK END GO TIME

ICcomplier安装教程

synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。主要的工具有:LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力 VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC 等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim 图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 Vera Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC 组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II 的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC 设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。 DC-Expert DC得到全球60多个半导体厂商、380多个工艺库的支持。据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。它使IC设计者在最短的时间内最佳的利用硅片完成设计。它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。 DC Ultra

TS16949五大核心工具简介

TS16949五大核心工具简介: 1、APQP(先期产品质量策划) APQP强调在产品量产之前,通过产品质量先期策划或项目管理等方法,对产品设计和制造过程设计进行管理,用来确定和制定让产品达到顾客满意所需的步骤。产品质量策划的目标是保证产品质量和提高产品可靠性,它一般可分为以下五个阶段: 第一阶段:计划和确定项目(项目阶段); 第二阶段:产品设计开发验证(设计及样车试制); 第三阶段:过程设计开发验证(试生产阶段); 第四阶段:产品和过程的确认(量产阶段); 第五阶段:反馈、评定及纠正措施(量产阶段后)。 2、FEMA(失效模式及后果分析) FEMA体现了防错的思想,要求在设计阶段和过程设计阶段,对构成产品的子系统、零件及过程中的各个工序逐一进行分析,找出所有潜在的失效模式,并分析其可能的后果,从而预先采用必要的措施,以提高产品的质量和可靠性的一种系统化的活动。 FEMA从失效模式的严重度(S)、频度(O)、探测度(D)三方面分析,得出风险顺序数RPN=S×O×D,对RPN及严重度较高的失效模式采取必要的预防措施。FMEA能够消除或减少潜在失效发生的机会,是汽车业界认可的最能减少“召回”事件的质量预防工具。 3、MSA(测量系统分析) MSA是使用数理统计和图表的方法对测量系统的分辨率和误差进行分析,以评估测量系统的分辨率和误差对于被测量的参数来说是否合适,并确定测量系统误差的主要组成的方法。 测量系统的误差对稳定条件下运行的测量系统,通过多次测量数据的统计特性的偏倚和方差来表征。一般来说,测量系统的分辨率应为获得测量参数的过程变差的十分之一,测量系统的相关指标有:重复性、再现性、线性、偏倚和稳定性等。 4、PPAP(生产件批准程序) PPAP是指在产品批量生产前,提供样品及必要的资料给客户承认和批准,来确定是否已经正确理解了顾客的设计要求和规范。

Synopsys工具简介(1)

Synopsys工具简介 LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和V erilog描述、或者两者混合描述进行检查,加速SoC的设计流程。LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力。 VCS TM VCS是编译型V erilog模拟器,它完全支持OVI标准的V erilog HDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供V eraLite、CycleC 等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了V irsim 图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco TM Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 V era V era验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。V era验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC 组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。V era验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。V era验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。 Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC 设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。

质量管理体系五大核心工具

质量管理体系五大核心工具 质量是企业的生命,是一个企业整体素质的展示,也是一个企业综合实力的体现。伴随人类社会的进步和人们生活水平的提高,顾客对产品质量要求越来越高。因此,企业要想长期稳定发展,必须围绕质量这个核心开展生产,加强产品质量管理,借以生产出高品质的产品,让企业领导放心,让我们的客户称心!下面我们主要针对质量管理中核心的五大工具进行介绍。 质量管理概述 质量管理是指在质量方面指挥和控制组织的协调的活动。质量管理,通常包括制定质量方针和质量目标以及质量策划、质量控制、质量保证和质量改进。 质量管理五大工具 质量管理五大工具,也称品管五大工具。包括: 1.统计过程控制(SPC,Statistical Process Control); 2.测量系统分析(MSA,Measurement System Analyse); 3.失效模式和效果分析(FMEA,Failure Mode & Effect Analyse); 4.产品质量先期策划(APQP,Advanced Product Quality Planning); 5.生产件批准程序(PPAP,Production Part Approval Process)。

SPC概念 SPC是一种制造控制方法,是将制造中的控制项目,依其特性所收集的数据,通过过程能力的分析与过程标准化,发掘过程中的异常,并立即采取改善措施,使过程恢复正常的方法。 利用统计的方法来监控制程的状态,确定生产过程在管制的状态下,以降低产品品质的变异SPC能解决之问题: 1.经济性:有效的抽样管制,不用全数检验,不良率,得以控制成本。使制程稳定,能掌握品质、成本与交期。 2.预警性:制程的异常趋势可即时对策,预防整批不良,以减少浪费。 3.分辨特殊原因:作为局部问题对策或管理阶层系统改进之参考。 4.善用机器设备:估计机器能力,可妥善安排适当机器生产适当零件。 5.改善的评估:制程能力可作为改善前後比较之指标。 目的: 1.对过程做出可靠有效的评估; 2.确定过程的统计控制界限,判断过程是否失控和过程是否有能力; 3.为过程提供一个早期报警系统,及时监控过程的情况以防止废品的发生; 4.减少对常规检验的依赖性,定时的观察以及系统的测量方法替代了大量的检测和验证工作。 SPC工具 Pp和Ppk不合格率

相关文档
最新文档